modelsim的详细使用方法和容易出现的问题!(适用初学者)

一、建立文件夹

先在电脑建立一个文件夹,目的是把接下来的各种操作产生的文件都存到这个文件夹里。比如我在D盘创建一个名字叫project_mux的文件夹。取名不要带中文。

二、

双击打开modelsim,有弹窗则关掉。然后  File→Change Directory   弹出的窗口选择刚才建立的文件夹,点击确认。做完这一步,我们的modelsim就如图三所示。

 三、建立库(library)

接下来,建立仿真库。编写verilog代码后,modelsim会把代码映射到实际的电路模型。而仿真库里面,有实际的电路模型。所以我们要添加仿真库。

File → Library  ,默认的库名叫work , 我们也可以自己取名, 我在此处均默认

操作完后,发现多出了work(empty) 这个就是我们刚刚建立的库,但是此时是empty,表示目前还没有工程用到它,接下来我们建立工程。

 四、建立工程(project)

File → Project   工程名自己取(我取名mux)意思是多路选择器

五、创建源文件和测试文件

六、开始写代码

双击mux2_1.v 文件,一般会直接弹出代码窗口。但是也有可能弹出记事本窗口,这个时候可以点右上方的open 分两次分别打开mux2_1.v和mux2_1_tb.v文件。然后就可以看到各自的代码窗口了。接着,分别在各自的代码窗口敲代码,源代码和测试代码分别在下方。 

 源代码

module mux2_1(
    a,
    b,
    sel,
    out
);
    input a;
    input b;
    input sel;
    output out;
    
    assign out = (sel==1)?a:b;

endmodule

测试代码

`timescale 1ns / 1ns

module mux2_1_tb();
    
    reg s_a;
    reg s_b;
    reg sel;
    wire out;
    
    mux2_1 mux2_1_inst0(
        .a(s_a),
        .b(s_b),
        .sel(sel),
        .out(out)
    );
    
    initial begin
        s_a = 0;s_b=0;sel=0;
        #200;
        s_a = 0;s_b=0;sel=1;
        #200;        
        s_a = 0;s_b=1;sel=0;
        #200;
        s_a = 0;s_b=1;sel=1;
        #200; 
        s_a = 1;s_b=0;sel=0;
        #200;
        s_a = 1;s_b=0;sel=1;
        #200;        
        s_a = 1;s_b=1;sel=0;
        #200;
        s_a = 1;s_b=1;sel=1;
        #200; 
        $stop;                        
    end
    
endmodule

写完源代码,一定要  ctrl+s  保存!!!

写完测试代码,也要  ctrl+s  保存!!!

否则,等会儿就算编译通过了,你的库(work)仍然是(empty)!!!

这样就仿真不了了!!!

(这里不太知道能不能直接复制代码,我按照上面的代码自己敲进去,编译的时候是没问题的

但是如果复制上面的代码,然后粘贴进modelsim,编译会报错。。。我也不知道为啥)

七、开始编译

在空白处鼠标右键,然后compile → compile all 

编译成功的话,mux2_1.v 和 mux2_1_tb.v两个文件的  ”❓“  会变成   ”✔“  下方的窗口也会出现successful

八、开始观察仿真波形

点击左下角的library  我们发现,work库不再是empty,而是多出了这两个文件,说明,这两个文件可以调用work仿真库,库里面有实际的电路模型,这样写的源代码才能映射电路,测试代码产生的激励作用电路,才会产生波形,这也就是为什么之前我们要建立仿真库。

接着右击mux2_1_tb.v文件 → simulate  

九、仿真波形的设置

可能你在上一步后弹出的界面是这样的,没有wave窗口,又或者没有object窗口,没有precess窗口。这个时候可以在view下拉菜单把它们都点击一下,这样就会出现 勾号 ,然后就会出现相应的窗口了。(如果有勾号,但是没有窗口,那么就点一下取消勾号,接着再点一下,出现勾号,这个时候,就有窗口了)

十、添加object窗口的这几个信号到wave窗口

这个时候,wave窗口还没有波形,因为你还没有把信号添加进去。所以我们要添加信号。

按住ctrl键,然后在object窗口,把这几个信号全点上,按照图片的选项选择,便可发现wave窗口多出了信号。这个时候波形还没出现,因为还没开始跑。所以点”Restart“  →出现的弹窗点ok → 

 ”Run All“  有可能这个时候,wave窗口会突然没了,不要慌,它只是收起来了,可以自己按照图片点开,这个时候便出现了波形。

 

十一、保存波形

在wave窗口,按 CTRL + S 则可以自己选择波形保存位置。它默认保存在第一步时电脑建立的文件夹下。

十二、总结

1、建立文件夹

2、把modelsim的所有文件改路径(change Directory),放在第一步的文件夹下。

3、建立库(library),目的是为了让后面的代码有映射的电路。

4、建立工程(project)

5、建立源文件和测试文件(creat new file)

6、往源文件和测试文件写代码,写完一个窗口代码要CTRL+S保存,一定要保存。不然后面modelsim的library里的work库可能为empty。即work库没有源文件和测试文件。

7、编译(compile)

8、进行波形仿真(simulate)

9、在view中调出object、precess、wave窗口

10、从object窗口把信号添加到wave窗口,restart → run all,观察波形是否满足要求

11、保存波形

  • 59
    点赞
  • 289
    收藏
    觉得还不错? 一键收藏
  • 8
    评论
### 回答1: Modelsim是一款功能强大的硬件描述语言(HDL)仿真工具,可以用于仿真和调试数字电路设计。下面将详细介绍Modelsim使用教程。 1. 安装Modelsim:首先需要到Mentor Graphics官方网站下载Modelsim软件并进行安装。安装完成后,打开Modelsim。 2. 创建工程:在Modelsim的主界面上点击“File”菜单,选择“New”创建新工程。输入工程的名称和路径,并选择合适的文件类型。 3. 添加设计文件:在Modelsim的主界面上点击“File”菜单,选择“Add to Project”将设计文件添加到工程中。可以添加电路设计的源文件和仿真需要的测试文件。 4. 设定仿真选项:在Modelsim的主界面上点击“Simulate”菜单,选择“Start Simulation”进入仿真界面。在仿真窗口中设置仿真选项,如仿真时间、信号波形显示窗口等。 5. 设置测试向量:在仿真窗口中,可以设置测试向量来触发设计电路的不同输入。这样可以观察电路的输出结果,并进行调试。 6. 运行仿真:在仿真窗口中点击运行按钮开始仿真。仿真过程中,可以通过波形显示窗口来查看电路信号的变化,并对电路进行调试和验证。 7. 分析仿真结果:仿真结束后,可以在波形显示窗口中查看仿真结果。可以对信号进行测量、比较、断言等操作来验证电路设计的正确性。 8. 生成报告:仿真结束后,可以生成仿真报告,将仿真结果保存在报告中。还可以生成数据文件,用于进一步的数据分析和处理。 以上就是Modelsim详细使用教程。通过掌握这些基本操作,你可以利用Modelsim进行电路设计的仿真和调试工作。 ### 回答2: ModelSim 是一种功能强大的仿真工具,用于设计和验证数字系统。下面是一份简单的ModelSim使用教程。 1. 安装ModelSim: 首先,从官方网站或其他可靠渠道下载并安装ModelSim软件。安装过程可能因不同的操作系统而有所不同。完成安装后,打开ModelSim。 2. 创建项目: 在ModelSim中,首先需要创建一个仿真项目。在菜单栏中选择"File",然后选择"New",再选择"Project"。输入项目的名称和路径,然后点击"OK"。 3. 添加设计文件: 在项目中添加设计文件,可以是VHDL或Verilog语言编写的文件。在菜单栏中选择"Project",再选择"Add Existing File".通过选择文件对话框,找到并添加设计文件。 4. 设置编译选项: 在菜单栏中选择"Compile",再选择"Compile Options"。在弹出窗口中,设置编译选项,例如选择设计文件的语言类型、优化级别等。点击"OK"开始编译。 5. 编译错误检查和调试: 编译完成后,ModelSim将显示编译的结果。如果存在错误,可以双击错误信息来定位并解决问题。 6. 添加仿真模型: 在菜单栏中选择"Simulate",然后选择"Start Simulation"。ModelSim将在一个仿真窗口中打开。 7. 设置仿真波形: 在仿真窗口中,点击菜单栏中的"Wave",选择"Add Wave"。然后通过点击"Insert"按钮,添加需要监视的信号和时钟。 8. 运行仿真: 在仿真窗口中点击 "Run" 按钮,ModelSim将开始运行仿真。可以观察波形图来验证设计的正确性。 9. 仿真调试: 在仿真窗口中,可单步执行仿真,观察信号的变化和寄存器的值。还可以设置断点,在断点处暂停仿真。 10. 仿真结束和结果分析: 仿真结束后,可以对仿真结果进行分析。例如,可以查看信号的变化、波形图的数据等。 以上是一个简单的ModelSim使用教程,仅涵盖了一些基本操作。实际使用中,还有更多高级功能和选项可以探索和应用。 ### 回答3: ModelSim是一款功能强大的数字电路仿真工具,适用FPGA设计和验证过程。下面是ModelSim详细使用教程: 1. 安装ModelSim:从官方网站下载ModelSim安装包,然后按照安装向导进行安装。 2. 创建工程:打开ModelSim,选择“File”菜单中的“New Project”选项,然后按照提示输入工程名称和路径。接着选择要仿真的源文件列表,并设置仿真文件的类型和路径。 3. 设置仿真选项:在ModelSim中,选择“Simulate”菜单中的“Start Simulation”选项。然后在仿真窗口中,选择“Simulate”菜单下的“Run”选项。在弹出的对话框中,设置仿真的时间长度和时间单位。 4. 编写测试程序:在ModelSim的编辑器中,编写测试程序代码。测试程序通常是一段用于对待仿真模块进行测试的Verilog或VHDL代码。 5. 仿真运行:在ModelSim的仿真窗口中,选择“Simulate”菜单下的“Run”选项,开始进行仿真运行。仿真过程将按照测试程序的代码逐个时钟周期地执行。 6. 查看仿真结果:在ModelSim的仿真窗口中,选择“Wave”菜单下的“Add Wave”选项,将要查看的信号添加到波形窗口中。然后点击“Restart”按钮重新开始仿真运行,即可查看各个信号的时序波形图。 7. 仿真调试:如果出现错误或问题,可以通过设置断点、单步执行、变量查看等方式进行仿真调试。在ModelSim的仿真窗口中,选择“Simulate”菜单下的“Advanced”选项,然后选择相应的仿真调试功能。 8. 优化仿真性能:如果仿真运行过慢或占用过多内存,可以通过调整仿真选项和代码优化来提高仿真性能。 以上是ModelSim详细使用教程,希望能对你有所帮助。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 8
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值