基于DE2-115的VGA协议显示实验

1.VGA协议介绍

VGA(Video Graphics Array)是一种视频传输标准,由IBM在1987年推出,主要用于模拟信号的视频传输。它在彩色显示器领域得到了广泛的应用,具有分辨率高、显示速率快、颜色丰富等优点。VGA的显示原理基于扫描方式,通过行同步信号(HSYNC)和场同步信号(VSYNC)来完成一帧图像的像素点扫描。VGA接口共有15个针脚,其中RGB三路输入为模拟量输入,而行和场为数字输入。

VGA的时序标准非常重要,它包括行同步和场同步两个部分。行同步信号(HSYNC)指示显示器何时开始接收一行像素信息,而场同步信号(VSYNC)则指示何时开始接收新的一帧图像。VGA可以显示不同分辨率的图像,不同分辨率及帧率对应不同的参数设置。

VGA接口的特点包括不支持热插拔和不能传输音频信号。VGA接口是一种D型接口,上面共有15针孔,分成三排,每排五个,其中3根RGB彩色分量信号和2根扫描同步信号HSYNC和VSYNC针是其重要组成部分。

在设计VGA驱动板时,由于VGA的模拟信号输入和FPGA的数字信号输出之间存在差异,需要将数字量转为模拟量,这可以通过权电阻网络等方案实现。VGA的显示图像不是直接显示,而是采用扫描的方式,将构成图像的像素点,在行场同步信号的同步下,按照从上到下、由左到右的顺序扫描到显示屏上。

2.VGA显示字符

2.1实验环境

软件:Quartus (Quartus Prime 18.0) Standard Edition Visual Studio Code
硬件:DE2-115FPGA开发板,显示屏

2.2 字符取模

使用取模工具将字符取出字符的模

在这里插入图片描述

在这里插入图片描述

3.代码撰写

module VGA_test(
OSC_50,     //原CLK2_50时钟信号
VGA_CLK,    //VGA自时钟
VGA_HS,     //行同步信号
VGA_VS,     //场同步信号
VGA_BLANK,  //复合空白信号控制信号  当BLANK为低电平时模拟视频输出消隐电平,此时从R9~R0,G9~G0,B9~B0输入的所有数据被忽略
VGA_SYNC,   //符合同步控制信号      行时序和场时序都要产生同步脉冲
VGA_R,      //VGA绿色
VGA_B,      //VGA蓝色
VGA_G);     //VGA绿色
 input OSC_50;     //外部时钟信号CLK2_50
 output VGA_CLK,VGA_HS,VGA_VS,VGA_BLANK,VGA_SYNC;
 output [7:0] VGA_R,VGA_B,VGA_G;
 parameter H_FRONT = 16;     //行同步前沿信号周期长
 parameter H_SYNC = 96;      //行同步信号周期长
 parameter H_BACK = 48;      //行同步后沿信号周期长
 parameter H_ACT = 640;      //行显示周期长
 parameter H_BLANK = H_FRONT+H_SYNC+H_BACK;        //行空白信号总周期长
 parameter H_TOTAL = H_FRONT+H_SYNC+H_BACK+H_ACT;  //行总周期长耗时
 parameter V_FRONT = 11;     //场同步前沿信号周期长
 parameter V_SYNC = 2;       //场同步信号周期长
 parameter V_BACK = 31;      //场同步后沿信号周期长
 parameter V_ACT = 480;      //场显示周期长
 parameter V_BLANK = V_FRONT+V_SYNC+V_BACK;        //场空白信号总周期长
 parameter V_TOTAL = V_FRONT+V_SYNC+V_BACK+V_ACT;  //场总周期长耗时
 reg [10:0] H_Cont;        //行周期计数器
 reg [10:0] V_Cont;        //场周期计数器
 wire [7:0] VGA_R;         //VGA红色控制线
 wire [7:0] VGA_G;         //VGA绿色控制线
 wire [7:0] VGA_B;         //VGA蓝色控制线
 reg VGA_HS;
 reg VGA_VS;
 reg [10:0] X;             //当前行第几个像素点
 reg [10:0] Y;             //当前场第几行
 reg CLK_25;
 always@(posedge OSC_50)
    begin 
      CLK_25=~CLK_25;         //时钟
    end 
    assign VGA_SYNC = 1'b0;   //同步信号低电平
    assign VGA_BLANK = ~((H_Cont<H_BLANK)||(V_Cont<V_BLANK));  //当行计数器小于行空白总长或场计数器小于场空白总长时,空白信号低电平
    assign VGA_CLK = ~CLK_to_DAC;  //VGA时钟等于CLK_25取反
    assign CLK_to_DAC = CLK_25;
 always@(posedge CLK_to_DAC)
    begin
        if(H_Cont<H_TOTAL)           //如果行计数器小于行总时长
            H_Cont<=H_Cont+1'b1;      //行计数器+1
        else H_Cont<=0;              //否则行计数器清零
        if(H_Cont==H_FRONT-1)        //如果行计数器等于行前沿空白时间-1
            VGA_HS<=1'b0;             //行同步信号置0
        if(H_Cont==H_FRONT+H_SYNC-1) //如果行计数器等于行前沿+行同步-1
            VGA_HS<=1'b1;             //行同步信号置1
        if(H_Cont>=H_BLANK)          //如果行计数器大于等于行空白总时长
            X<=H_Cont-H_BLANK;        //X等于行计数器-行空白总时长   (X为当前行第几个像素点)
        else X<=0;                   //否则X为0
    end
 always@(posedge VGA_HS)
    begin
        if(V_Cont<V_TOTAL)           //如果场计数器小于行总时长
            V_Cont<=V_Cont+1'b1;      //场计数器+1
        else V_Cont<=0;              //否则场计数器清零
        if(V_Cont==V_FRONT-1)       //如果场计数器等于场前沿空白时间-1
            VGA_VS<=1'b0;             //场同步信号置0
        if(V_Cont==V_FRONT+V_SYNC-1) //如果场计数器等于行前沿+场同步-1
            VGA_VS<=1'b1;             //场同步信号置1
        if(V_Cont>=V_BLANK)          //如果场计数器大于等于场空白总时长
            Y<=V_Cont-V_BLANK;        //Y等于场计数器-场空白总时长    (Y为当前场第几行)  
        else Y<=0;                   //否则Y为0
    end
    reg valid_yr;
 always@(posedge CLK_to_DAC)
    if(V_Cont == 10'd32)         //场计数器=32时
        valid_yr<=1'b1;           //行输入激活
    else if(V_Cont==10'd512)     //场计数器=512时
        valid_yr<=1'b0;           //行输入冻结
    wire valid_y=valid_yr;       //连线   
    reg valid_r;            
 always@(posedge CLK_to_DAC)   
    if((H_Cont == 10'd32)&&valid_y)     //行计数器=32时
        valid_r<=1'b1;                   //像素输入激活
    else if((H_Cont==10'd512)&&valid_y) //行计数器=512时 
        valid_r<=1'b0;                   //像素输入冻结
    wire valid = valid_r;               //连线
    wire[10:0] x_dis;     //像素显示控制信号
    wire[10:0] y_dis;     //行显示控制信号
    assign x_dis=X;       //连线X
    assign y_dis=Y;       //连线Y
        parameter

    char_line00=240'h 000000182402023A4642424244380000600,
	char_line01=240'h 0000003C4242402018204042423C0000310,
	char_line02=240'h 0000003C4242424020100804427E0000220,
	char_line03=240'h 000000101C10101010101010107C0000130,
	char_line04=240'h 00000018244242424242424224180000040,
	char_line05=240'h 0000007E422020101008080808080000750,
	char_line06=240'h 00000018244242424242424224180000060,
	char_line07=240'h 000000182402023A4642424244380000670,
	char_line08=240'h 00000018244242424242424224180000080,
	char_line09=240'h 0000003C4242402018204042423C0000390,
	char_line0a=240'h 0000003C4242424020100804427E0000210,
	char_line0b=240'h 0000003C4242424020100804427E0000211;
    reg[7:0] char_bit;
    always@(posedge CLK_to_DAC)
        if(X==10'd180)char_bit<=9'd240;   //当显示到144像素时准备开始输出图像数据
        else if(X>10'd180&&X<10'd420)     //左边距屏幕144像素到416像素时    416=144+272(图像宽度)
            char_bit<=char_bit-1'b1;       //倒着输出图像信息
            
    reg[29:0] vga_rgb;                //定义颜色缓存
    always@(posedge CLK_to_DAC) 
        if(X>10'd180&&X<10'd420)    //X控制图像的横向显示边界:左边距屏幕左边144像素  右边界距屏幕左边界416像素
            begin case(Y)            //Y控制图像的纵向显示边界:从距离屏幕顶部160像素开始显示第一行数据
                10'd200:
                if(char_line00[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;  //如果该行有数据 则颜色为红色
                else vga_rgb<=30'b0000000000_0000000000_0000000000;                      //否则为黑色
                10'd201:
                if(char_line01[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
                else vga_rgb<=30'b0000000000_0000000000_0000000000;
                10'd202:
                if(char_line02[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
                else vga_rgb<=30'b0000000000_0000000000_0000000000;
                10'd203:
                if(char_line03[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
                else vga_rgb<=30'b0000000000_0000000000_0000000000;
                10'd204:
                if(char_line04[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
                else vga_rgb<=30'b0000000000_0000000000_0000000000; 
                10'd205:
                if(char_line05[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
                else vga_rgb<=30'b0000000000_0000000000_0000000000;
                10'd206:
                if(char_line06[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
                else vga_rgb<=30'b0000000000_0000000000_0000000000; 
                10'd207:
                if(char_line07[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
                else vga_rgb<=30'b0000000000_0000000000_0000000000;
                10'd208:
                if(char_line08[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
                else vga_rgb<=30'b0000000000_0000000000_0000000000; 
                10'd209:
                if(char_line09[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
                else vga_rgb<=30'b0000000000_0000000000_0000000000;
                10'd210:
                if(char_line0a[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
                else vga_rgb<=30'b0000000000_0000000000_0000000000;
                10'd211:
                if(char_line0b[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
                else vga_rgb<=30'b0000000000_0000000000_0000000000;
                10'd212:
                if(char_line0c[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
                else vga_rgb<=30'b0000000000_0000000000_0000000000;
                10'd213:
                if(char_line0d[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
                else vga_rgb<=30'b0000000000_0000000000_0000000000;
                10'd214:
                if(char_line0e[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
                else vga_rgb<=30'b0000000000_0000000000_0000000000;
                10'd215:
                if(char_line0f[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
                else vga_rgb<=30'b0000000000_0000000000_0000000000;
                default:vga_rgb<=30'h0000000000;   //默认颜色黑色
            endcase 
        end
    else vga_rgb<=30'h000000000;             //否则黑色
    assign VGA_R=vga_rgb[23:16];
    assign VGA_G=vga_rgb[15:8];
    assign VGA_B=vga_rgb[7:0];
endmodule



4.总结

使用VGA显示,先弄清楚VAG显示原理,将显示屏看为N*M大小的一个坐标系,为每个坐标分配一个RGB三通道的值,也就是每个像素,行场信号扫描的速度很快,就能连成一副完整的图像。图片显示需要用到ROM来存储图片数据,在显示时,从ROM中取出数据赋给相应的RBG通道就能显示了。

  • 4
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
好的,以下是基于DE2-115平台VGA显示图片的详细步骤: 1. 打开Quartus II软件,创建一个新工程。 2. 在工程中添加一个VHDL文件,用于实现VGA控制器。下面是一个简单的VGA控制器代码示例: ``` library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity VGA_Controller is Port ( clk : in STD_LOGIC; h_sync : out STD_LOGIC; v_sync : out STD_LOGIC; red : out STD_LOGIC_VECTOR (3 downto 0); green : out STD_LOGIC_VECTOR (3 downto 0); blue : out STD_LOGIC_VECTOR (3 downto 0); x : out STD_LOGIC_VECTOR (9 downto 0); y : out STD_LOGIC_VECTOR (9 downto 0)); end VGA_Controller; architecture Behavioral of VGA_Controller is signal vga_clk : std_logic; signal h_count : integer range 0 to 799 := 0; signal v_count : integer range 0 to 524 := 0; begin vga_clk <= clk; process(vga_clk) begin if rising_edge(vga_clk) then if h_count = 799 then h_count <= 0; if v_count = 524 then v_count <= 0; else v_count <= v_count + 1; end if; else h_count <= h_count + 1; end if; end if; end process; h_sync <= '1' when (h_count >= 656 and h_count <= 752) else '0'; v_sync <= '1' when (v_count >= 490 and v_count <= 492) else '0'; red <= "1111"; green <= "0000"; blue <= "0000"; x <= std_logic_vector(to_unsigned(h_count, 10)); y <= std_logic_vector(to_unsigned(v_count, 10)); end Behavioral; ``` 这个VGA控制器代码示例中,使用了默认的640x480分辨率和60Hz刷新率。其中,h_sync和v_sync分别表示水平同步信号和垂直同步信号,red、green和blue分别表示红、绿、蓝三个颜色通道,x和y表示当前像素的坐标。 3. 在工程中添加一个图片文件,将其转换为灰度图像并调整分辨率为640x480。可以使用Matlab等工具进行图像处理和转换。 4. 在VGA控制器代码中添加一个ROM模块,用于存储转换后的图像数据。下面是一个简单的ROM模块代码示例: ``` library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity ROM is Port ( clk : in STD_LOGIC; address : in STD_LOGIC_VECTOR (17 downto 0); data : out STD_LOGIC_VECTOR (7 downto 0)); end ROM; architecture Behavioral of ROM is type ROM_array is array (0 to 307199) of std_logic_vector(7 downto 0); constant ROM_data : ROM_array := ( -- 图像数据 ); begin process(clk) begin if rising_edge(clk) then data <= ROM_data(to_integer(unsigned(address))); end if; end process; end Behavioral; ``` 在ROM模块中,使用一个ROM_array类型的常量存储转换后的图像数据,通过address输入读取对应的像素数据。 5. 在VGA控制器代码中,将ROM模块的输出与红、绿、蓝三个颜色通道连接起来,实现将像素数据输出到VGA显示器。 6. 在Quartus II软件中,进行引脚分配,将VGA控制器的输出信号与DE2-115板子上的VGA接口相连。 7. 编译工程生成.sof文件,并将其下载到DE2-115板子中。 完成以上步骤后,即可在DE2-115的VGA显示器上看到输出的图像。需要注意的是,因为DE2-115的FPGA资源有限,可能无法一次性显示完整的640x480分辨率的图像。可以将图像分成若干个区域分别输出,或者降低分辨率以适应FPGA资源。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值