自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(22)
  • 资源 (10)
  • 收藏
  • 关注

转载 verilog中的function用法与例子

函数的功能和任务的功能类似,但二者还存在很大的不同。在 Verilog HDL 语法中也存在函数的定义和调用。 1.函数的定义 函数通过关键词 function 和 endfunction 定义,不允许输出端口声明(包括输出和双向端口) ,但可以有多个输入端口。函数定义的语法如下: function [range] functio...

2018-11-30 16:43:17 2082

原创 如何在线对ZedBorad进行GDB调试

1、生成可执行文件,需要使用  -g参数,否则调试的时候没有信息2、用网线连接开发板,配置好电脑和开发板的IP地址。然后上电。电脑:IP:192.168.1.1       子网掩码:255.255.255.0       网关:192.168.1.1开发板:(应该是默认IP为:192.168.1.10,子网掩码、网关和电脑一样)通过串口终端,可以使用 ifconfig 查...

2018-11-21 09:13:35 576

转载 GDB调试入门

用GDB调试程序GDB概述————GDB是GNU开源组织发布的一个强大的UNIX下的程序调试工具。或许,各位比较喜欢那种图形界面方式的,像VC、BCB等IDE的调试,但如果你是在UNIX平台下做软件,你会发现GDB这个调试工具有比VC、BCB的图形化调试器更强大的功能。所谓“寸有所长,尺有所短”就是这个道...

2018-11-19 18:38:14 162

原创 ZedBoard 编译linux内核

1、下载:linux内核源码(下载v2016.3版本的,后缀是.tar.gz格式的额,不是zip格式)https://github.com/Xilinx/linux-xlnx.git直接下载,但是可能下载的有点慢,下不下来的自己想办法吧。2、把linux-xlnx-xilinx-v2016.3.tar.gz解压到ubuntu下。3、进入源码目录cd linux-xlnx...

2018-11-19 14:19:00 551

转载 Linux——设备树编译和反编译

原文:https://blog.csdn.net/SU3752/article/details/80377596  一、设备树编译有两种方式1、将设备树文件拷贝到内核源码的arch/*(处理器平台)/boot/dts/*(厂家)/目录下,   执行make dtbs2、dtc -I dts -O dtb  *.dts > my.dtb二、设备树反编译dtc -I dtb -...

2018-11-17 21:31:39 1062

原创 Ubuntu下minicom的安装和使用

安装:在终端中输入即可完成安装sudo apt-get install minicom安装好以后需要配置。配置:1、类似与在windows下查看自己的串口号是COM几一样,首先需要查看自己的串口号。 ls -l /dev/ttyUSB* 可以看到,我的串口号是ttyUSB0。至此,我们已经顺利的将串口连接到Ubuntu系统上了,也查看到自己开发板连接的是US...

2018-11-17 20:16:15 584

原创 ZedBoard——编译u-boot

1、下载u-boot源码地址:https://download.csdn.net/download/maochuangan/107852442、下载并安装arm-xilinx-linux-gnueabi-工具连这个在网上有很多可以下载,这里提供一个下载链接。https://pan.baidu.com/s/1pLlVcaJ然后直接  ./arm-xilinx-linux-gnu...

2018-11-14 21:38:53 331

原创 使用FTP在Linux服务器和Ubuntu之间传输文件

1、在Ubuntu上连接Linux服务器     命令:ftp  服务器IP地址ftp 192.168.1.1接着输入你在服务器上的账户名,按回车。然后在输入你对应账户的密码。2、上传文件命令: put   文件名put BOOT.bin最好使用绝对路径。这将会默认将文件上传到服务器桌面。3、下载文件命令: get  文件名get BOOT....

2018-11-14 18:46:59 2035

原创 通过自加载的方式设置ZedBoard的IP地址

1、先下载arm_ramdisk.image.gzhttp://www.wiki.xilinx.com/Build+and+Modify+a+Rootfs2、执行以下命令 gunzip arm_ramdisk.image.gz chmod u+rwx arm_ramdisk.image mkdir tmp_mnt/ sudo mount -o loop arm_r...

2018-11-14 14:22:14 435

原创 用户管理命令

             Ubuntu不仅提供了用户设置管理的图形化工具,在Shell中,还提供了一些用户和组管理的命令。使用这些命令,可以更详尽的对用户和组进行管理,并且可以提高工作效率。本节讲解与用户和组的相关的主要配置文件的位置和内容。一、添加用户adduser [参数] [用户名]参数:--system    //添加一个系统用户--home DIR     /...

2018-11-12 00:45:28 143

原创 更改文件的读写权限

chmod 777 文件名

2018-11-09 22:35:45 1251

原创 Linux——ftp服务器的配置

1、平台:ubuntu16.042、方法1)安装FTP服务 sudo apt-get install vsftpd2) 打开FTP配置文件 sudo vim /etc/vsftpd.conf3)将 ” write_enable=YES “前的注释符号“#”去掉,保存退出4)sudo service vsftpd restart5)ftp登录自己的服...

2018-11-09 20:15:11 169

原创 Xilinx (mig控制器) DDR 时钟核带宽详解

 

2018-11-09 19:29:26 4324

原创 Linux 下如何更该文件的拥有者?

命令: chown  -r   用户拥有者  文件名    例如:chown  -r   mca  /home/csf 

2018-11-08 22:17:47 191

原创 Linux 下如何在不同的用户之间复制文件?

命令:cp  文件名  -r  用户1   目的用户路径例如: cp  '/home/csf'  -r  mca  /home/cl

2018-11-08 22:15:11 7624

原创 如何设置Linux使用“Alt+Ctrl+T”打开命令窗口

1、Settings——>Keyboard——>自定义快捷键2、输入“gnome-terminal”,然后根据提示在键盘上设置同时按住"Alt+Ctrl+T",进行设置

2018-11-08 21:32:02 3199

原创 Linux——文件管理

一、文件与目录管理     (一)标准文件布局目录名 说明 / Linux系统目录树的起点 bin 存放可执行命令,如chmod,date boot 存放系统启动是需要的文件,包括引导装载程序 dev 存放所有的设备文件,如fd0为软盘设备,cdrom为光盘设备 etc 存放系统配置文件,如passwd,fstab等 home ...

2018-11-05 22:53:14 220

原创 Linux——基础环境桌面设置

        这里以ubuntu-gnome-16.04-desktop版本为基础,讲解基础环境桌面设置,主要包括外观的设置、窗口的设置、屏幕分辨率保护程序的设置等。通过本节的学习,教会你定义属于自己的桌面环境个性环境。1、外观设置登录用户账户后,显示为默认的GNOME环境,如下图所示。                                               ...

2018-11-05 20:33:03 2057

原创 VNC实现Windows远程访问Ubuntu 16.04(无需安装第三方桌面)

配置教程转载自:https://www.cnblogs.com/xuliangxing/p/7642650.html完整详细的教程,反正我配好了。感谢博主。但是配好之后,连接访问会有点卡顿。解决办法:1、点击远程左面左下角的”选项“2、点击“显示”选项卡。在“显示配置”处将远程桌面显示大小调整为“1024×768像素”,颜色调整为“增强色15位”3、再点击“体验”选项卡...

2018-11-05 16:23:44 824

原创 FPGA——乒乓操作

乒乓操作是一个主要用于数据流控制的处理技巧,典型的乒乓操作如下图所示。       外部输入数据流通过“输入数据选择控制”模块送入两个数据缓冲区中,数据缓冲模块可以为任何存储模块,比较常用的存储单元为双口RAM(Dual RAM)、SRAM、SDRAM、FIFO等。       在第1个数据缓冲周期,将输入的数据流缓存到“数据缓冲1”模块。在第2个缓冲周期,“输入数据选择控制”模块将输...

2018-11-05 00:37:26 5721

原创 PLL 配置后的复位设计

        很多FPGA设计中都会涉及到多个时钟,使用器件内部的PLL或者DLL会使得多个时钟的管理变得更加容易。但是当多个时钟都是用PLL/DLL产生时,他们的系统复位信号如何设计才更加稳定呢?        在上一章《FPGA——复位设计》的内容里提出了异步复位、同步释放的方法,那么在系统复位后、PLL时钟输出前,即系统的工作时钟不确定的情况下,应该怎么考虑这个复位问题呢?    ...

2018-11-04 22:56:00 2115 1

原创 FPGA——复位设计

复位设计一、异步复位与同步复位          FPGA设计中常见的复位方式即异步复位与同步复位。在没有关注亚稳态之前,很多人也是多也是对这个不太在意,只有在遇到了亚稳态的危害之后才会关注异步复位和同步复位的区别。在没有关注这个问题之前,相信很多人都是用的异步复位,所谓异步复位,指的是复位信号和系统时钟信号的触发可以在任何时刻,二者相互独立。1、异步复位实例下面给出异步复位的一段...

2018-11-04 22:02:51 1296

axi_gpio_phy.rar

https://blog.csdn.net/MaoChuangAn/article/details/90549744 直接操作寄存器控制GPIO,摆脱对SDK库函数的依赖

2019-05-25

axi_gpio.rar

zynq AXI_GPIO 中断 https://blog.csdn.net/MaoChuangAn/article/details/90488018

2019-05-23

PL_PS_intr.rar

zynq中断例程。https://blog.csdn.net/MaoChuangAn/article/details/90485556

2019-05-23

FPGA_SPI多重启动

Xilinx 7系列多重启动教程。欢迎能够下载分享。

2019-05-07

Xilinx MIG 控制器工程

Xilinx MIG 控制器

2018-12-26

ZedBoard的u-boot源码

zynq-7000的u-boot源码包,并有对应的编译u-boot教程。

2018-11-14

ZedBoard 无桌面启动文件

ZedBoard移植不带桌面linux系统启动文件,

2018-09-27

ZedBoard启动文件

ZedBoard启动文件,包含BOOT.BIN、devicetree.dtb、zImage

2018-09-26

FPGA按键消抖程序

基于VHDL的按键消抖程序,已经经过验证,可以放心使用。另外在我的博客《FPGA按键消抖(附带程序)》中也对该程序进行了说明。

2018-06-29

NRF24L01多对一

这是NRF24L01 的无线模块多对一通信

2015-05-09

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除