vhdl语言60进制计数器及其数码显示

该博客详细介绍了如何使用VHDL语言设计一个60进制计数器,并实现数码显示。通过两个信号cq6和cq10,实现了60进制计数,并在特定条件触发进位。同时,根据计数器的值点亮7段LED显示器,展示计数值。
摘要由CSDN通过智能技术生成

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity ledcnt60 is
 port(clk,clr,ena:in std_logic;
      cnt10,cnt6:out std_logic_vector(3 downto 0);
      carry_out:out std_logic;
      led10:out std_logic_vector(6 downto 0);
      led6:out std_logic_vector(6 downto 0));
end ledcnt60 ;
architecture behav of ledcnt60 is
  signal cq6,cq10:std_logic_vector(3 downto 0);
     begin
       process(clk,clr,ena)is
       begin
            if clr='1' then
                       cq6<="0000";
                       cq10<="0000";
            elsif clk'event and clk='1' then
                  if ena='1' then
                     if cq10="1001" then
                            cq10<="0000"; 
                            cq6<=cq6+'1';        <

评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值