出租车计价器VHDL程序与仿真

程序设计与仿真

1. 出租车计价器VHDL程序

--文件名:taxi.hd

--功能:出租车计价器

--最后修改日期:

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity taxi is

port ( clk_240  :in std_logic;                           --频率为240Hz的时钟                         

       start :in std_logic;                               --计价使能信号

       stop:in std_logic;                                --等待信号

       fin:in std_logic;                                 --公里脉冲信号

       cha3,cha2,cha1,cha0:out std_logic_vector(3 downto 0); --费用数据

       km1,km0:out std_logic_vector(3 downto 0);          --公里数据            

       min1,min0: out std_logic_vector(3 downto 0));       --等待时间   

end taxi;

architecture behav of taxi is

signal f_15,f_16,f_1:std_logic;                       --频率为15Hz16Hz

  • 14
    点赞
  • 55
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值