Xilinx FPGA 电源描述

本文以 Kintex‐7 FPGAs 为例

电源端口的描述

名字作用是否必须
VCCINT内部核心逻辑电源必须
VCCIO_#IO BANK的电源Xilinx推荐不使用的IO BANK要接上电源,否则会影响ESD的保护,可能会损坏器件。见[UG471]

电源的极限值

超过绝对最大额定值,可能会对设备造成永久性的损害

逻辑部分

在这里插入图片描述

GTX 和 XADC部分

在这里插入图片描述

电源的推荐值

保证芯片稳定工作需要工作在推荐值范围内。
在这里插入图片描述在这里插入图片描述
VCCINTVCCBRAM 因该连接在同一个电源。
VCCBATT是只有在bit文件加密时才需要使用。不加密则,将VCCBATT连接到接地或VCCAUX

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值