阶乘

Verilog
设计代码

`timescale 1ns / 1ps
module funct(clk,n,result,reset);
output[31:0]result;
input[3:0]n;
input reset,clk;
reg[31:0]result;
always@(posedge clk)
    begin
    if(!reset)result<=0;
    else begin
        result<=2*factorial(n);
        end
    end
    
    function[31:0]factorial;
    input[3:0]opa;
    reg [3:0]i;
        begin
        factorial=opa?1:0;
        for(i=2;i<=opa;i=i+1)
        factorial=i*factorial;
        end
endfunction
endmodule
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值