MODELSIM使用指南(1)

MODELSIM中VCD文件的生成方法:

在TESTBENCH中添加如下语句:

initial begin    
    $dumpfile("sim.vcd");//创建VCD文件
    $dumpvars();//需要记录的信息,默认所有
    $dumpon;//开始记录
    #500000
    $dumpoff;//停止记录
end

 

RTL级和GATE级的仿真产生的VCD差异较大,若需要控制VCD文件的大小,dumplimit()指令,括号内为以字节为单位的长度。

运行仿真,自动打开MODELSIM之后,会自动生成*.vcd文件,此时大小为0,关闭MODELSIM之后,大小立即更新。

该文件可作为功耗计算(processing--powerplay power analyzer tool)时的输入文件,增加功耗计算精度。

  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值