Modelsim打开、生成VCD文件

很多时候,需要把VCS仿真的波形导入到Modelsim中进行观察,这个时候无法直接查看,因为Modelsim只支持.wlf波形文件,所以需要做格式转换。
VCS仿真后的波形可保存为VCD(value change dump:值变转储)文件,是ASCII格式,该文件包含设计中指定变量的取值变化信息。包括文件头信息、节点变化、取值变化。那么如何用Modelsim来打开该文件呢?

用Modelsim打开VCD文件

(1)在Modelsim中的控制台输入:vcd2wlf file1.vcd file2.wlf
其中,file1是要转换的.vcd文件名,file2是转换后的文件名。转换完成后该文件位于当前Modelsim工程目录下。
(2)在Modelsim中File->Open->file2.wlf->在object标签中选取需要观察的信号添加到波形窗即可,后面同一般的Modelsim工程仿真。

Modelsim产生VCD文件

有时候为了便于格式转换,比如把Modelsim仿真的波形在其他仿真软件上显示(如:VCS)就需要把Modelsim的波形仿真文件保存为VCD格式。具体如下:
(1)将Modelsim工程编译,排除语法错误,然后在菜单栏Simulation标签中点击Start simulation加载设计;
(2)在Modelsim控制台输入命令:vcd file filename.vcd创建.vcd文件,其中filename是要生成的文件的文件名;
(3)继续输入命令:vcd add path/*,需要注意的是path是你生成的.vcd文件保存的路径,若就是当前工程,则直接是vcd add /*即可;
(4)在Modelsim中运行仿真,产生VCD波形文件;
(5)当波形文件产生完成后,需要退出仿真。命令:quit -sim
通过上述方法可以完成Modelsim对VCD文件的产生和转换。

  • 6
    点赞
  • 18
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值