FPGA学习笔记03——UART串口

参考内容:王建飞《你好FPGA一本可以听的书》

正点原子《开拓者FPGA开发指南》

1.介绍

串行通信分为两种方式:同步串行通信和异步串行通信。 同步串行通信需要通信双方在同一时钟的控制下,同步传输数据;异步串行通信是指通信双方使用各自的时钟控制数据的发送和接收过程。
基本的UART通信只需要两条信号线(RXD、TXD)就可以完成数据的相互通信,接收与发送是全双工形式。
UART在发送或接收过程中的一帧数据由4部分组成, 起始位、 数据位、 奇偶校验位和停止位。

  1. 起始位标志着一帧数据的开始,
  2. 停止位标志着一帧数据的结束,
  3. 数据位是一帧数据中的有效数据。
  4. 校验位分为奇校验和偶校验, 用于检验数据在传输过程中是否出错。奇校验时, 发送方应使数据位中1的个数与校验位中1的个数之和为奇数;接收方在接收数据时,对1的个数进行检查,若不为奇数,则说明数据在传输过程中出了差错。 同样,偶校验则检查1的个数是否为偶数。

波特率:UART的传送速率,用于说明数据传送的快慢。在串行通信中,数据是按位进行传送的,因此传送速率用每秒钟传送数据位的数目来表示,称之为波特率。如波特率9600=9600bps(位/秒)。

数据位:可选择为5、 6、 7、 8位,其中8位数据位是最常用的。

2.硬件设计

串口USB电平转换芯片采用CH340C。

FPGA管教分配

信号名方向管教端口说明
sys_clkinputE1

系统时钟50M

sys_rst_ninputM1系统复位,低电平有效
uart_rxinputC6串口接收
uart_txoutputE6串口发送
LED0outputF3 
LED1outputF5 

 

注意:CH340_TX接FPGA的RX,CH340_RX接FPGA的TX

3.程序设计

功能:计算机串口发送一帧数据,FPGA接收成功后,LED1亮灯,回发给计算机同样数据。

信号连接RTL图:

接收:

module uart_recv(
	input sys_clk,
	input sys_rst_n,
	input uart_rxd, 
	output reg [7:0] recv_data,
	output reg recv_ok
);

// parameter define
parameter  SYSFREQ = 50_000_000;
parameter  BAUD    = 9600;
localparam BPS_CNT = SYSFREQ/BAUD;

// wiew define
wire start_flag/*synthesis keep*/;

// reg define
reg [31:0] clk_cnt;
reg [7:0]  uart_cnt;
reg 	     uart_d0;
reg 	     uart_d1;
reg [7:0]  buf_data;
reg		  recv_en_flag/*synthesis noprune*/;	// uart is receiving

// catch negitive edge of uart_rxd   ---|__
assign start_flag = (!uart_d0) & (uart_d1);

// set uart_d0 and uart_d1
always @(posedge sys_clk or negedge sys_rst_n)begin
	if(!sys_rst_n)begin
		uart_d0 <= 1'd0;
		uart_d1 <= 1'd0;
	end
	else begin
		uart_d0 <= uart_rxd;
		uart_d1 <= uart_d0;
	end
end

// receiving flag
always @(posedge sys_clk or negedge sys_rst_n)begin
	if(!sys_rst_n)begin
		recv_en_flag <= 1'b0;
	end
	else if(start_flag)begin
		recv_en_flag <= 1'b1;
	end
	else if((uart_cnt == 9) && (clk_cnt == (BPS_CNT/2 + 100)))begin
		recv_en_flag <= 1'b0;
	end
	else
		recv_en_flag <= recv_en_flag;
end

// counter
always @(posedge sys_clk or negedge sys_rst_n)begin
	if(!sys_rst_n)begin
		clk_cnt <= 1'b0;
		uart_cnt <= 1'b0;
	end
	else if(recv_en_flag)begin
		if(clk_cnt < BPS_CNT - 1)begin
			clk_cnt  <= clk_cnt + 1'b1;
			uart_cnt <= uart_cnt;
		end
		else begin
			clk_cnt <= 1'b0;
			uart_cnt <= uart_cnt + 1'b1;
		end
	end
	else begin
		clk_cnt <= 1'b0;
		uart_cnt <= 1'b0;
	end
end

// data save
always @(posedge sys_clk or negedge sys_rst_n)begin
	if(!sys_rst_n)begin
		buf_data <= 8'd0;
		recv_ok  <= 1'b0;
	end
	else if(recv_en_flag)begin
		if(clk_cnt == BPS_CNT/2)begin
			case(uart_cnt)
				4'd0 : ;
				4'd1 : buf_data[0] <= uart_d1;
				4'd2 : buf_data[1] <= uart_d1;
				4'd3 : buf_data[2] <= uart_d1;
				4'd4 : buf_data[3] <= uart_d1;
				4'd5 : buf_data[4] <= uart_d1;
				4'd6 : buf_data[5] <= uart_d1;
				4'd7 : buf_data[6] <= uart_d1;
				4'd8 : buf_data[7] <= uart_d1;
				4'd9 : begin
						recv_data <= buf_data;
						recv_ok   <= 1'b1;
						end
			endcase
		end
	end
	else begin
		buf_data <= 8'd0;
		recv_ok  <= 1'b0;
	end
end

endmodule

发送:

module uart_send(	
	input sys_clk,
	input sys_rst_n,
	output reg uart_txd, 
	input [7:0] send_data,
	input recv_ok
);

// parameter define
parameter  SYSFREQ = 50_000_000;
parameter  BAUD    = 9600;
localparam BPS_CNT = SYSFREQ/BAUD;

// reg define
reg [31:0] clk_cnt;
reg [7:0]  uart_cnt;
reg [7:0]  buf_data;
reg		  send_en_flag/*synthesis noprune*/;	// uart is sending

always @(posedge sys_clk or negedge sys_rst_n)begin
	if(!sys_rst_n)begin
		send_en_flag <= 1'b0;
		buf_data 	 <= 8'd0;
	end
	else if(recv_ok)begin
		send_en_flag <= 1'b1;
		buf_data 	 <= send_data;
	end
	else if((uart_cnt == 8'd9) && (clk_cnt == BPS_CNT/2+100))begin
		send_en_flag <= 1'b0;
		buf_data 	 <= 8'd0;
	end
	else begin
		send_en_flag <= send_en_flag;
		buf_data 	 <= buf_data;
	end
end

always @(posedge sys_clk or negedge sys_rst_n)begin
	if(!sys_rst_n)begin
		clk_cnt  <= 32'd0;
		uart_cnt <= 8'd0;
	end
	else if(send_en_flag)begin
		if(clk_cnt < BPS_CNT-1)begin
			clk_cnt  <= clk_cnt + 1'b1;
			uart_cnt <= uart_cnt;
		end
		else begin
			clk_cnt  <= 32'd0;
			uart_cnt <= uart_cnt + 1'b1;
		end
	end
	else begin
		clk_cnt  <= 32'd0;
		uart_cnt <= 8'd0;	
	end
end

always @(posedge sys_clk or negedge sys_rst_n)begin
	if(!sys_rst_n)begin
		uart_txd <= 1'b1;
	end
	else if(send_en_flag)begin
		if(clk_cnt == 32'd0)begin
			case(uart_cnt)
			7'd0:uart_txd <= 1'b0;
			7'd1:uart_txd <= buf_data[0];
			7'd2:uart_txd <= buf_data[1];
			7'd3:uart_txd <= buf_data[2];
			7'd4:uart_txd <= buf_data[3];
			7'd5:uart_txd <= buf_data[4];
			7'd6:uart_txd <= buf_data[5];
			7'd7:uart_txd <= buf_data[6];
			7'd8:uart_txd <= buf_data[7];
			7'd9:uart_txd <= 1'b1;
			endcase
		end
	end
end

endmodule

使用SignalTap抓取波形如下:

源码下载:https://download.csdn.net/download/ngany/12921479

  • 0
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
你好!感谢提问。下面是有关 K210 学习笔记五中串口通信的一些内容: 在 K210 开发板上,串口是一种常见的通信接口,用于将数据从一个设备传输到另一个设备。串口通信通常使用 UART (Universal Asynchronous Receiver/Transmitter) 协议。 要在 K210 上进行串口通信,首先需要使用两个引脚:一个用于接收数据(RX),一个用于发送数据(TX)。这些引脚可以通过 GPIO 端口与外部设备连接。 在 K210 的开发环境中,可以使用 MaixPy 或者 Kendryte Standalone SDK 进行串口编程。 在 MaixPy 中,可以使用 `uart` 模块来进行串口通信。例如,要初始化一个串口对象并设置波特率为 115200,可以使用以下代码: ```python from machine import UART uart = UART(UART.UART1, 115200) ``` 然后,可以使用 `uart.write()` 函数发送数据,使用 `uart.read()` 函数接收数据。例如: ```python uart.write("Hello, world!\n") data = uart.read(10) ``` 在 Kendryte Standalone SDK 中,可以使用 `uart.h` 头文件中的函数来进行串口通信。例如,要初始化一个串口对象并设置波特率为 115200,可以使用以下代码: ```c #include "uart.h" uart_init(UART_DEVICE_1, 115200); ``` 然后,可以使用 `uart_send_data()` 函数发送数据,使用 `uart_receive_data()` 函数接收数据。例如: ```c uart_send_data(UART_DEVICE_1, "Hello, world!\n", 14); char buffer[10]; uart_receive_data(UART_DEVICE_1, buffer, 10); ``` 以上是关于 K210 学习笔记五中串口通信的简要介绍。如果你有更具体的问题,请随时提问!

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值