1 UVM testbench architecture

UVM testbench

testbench 一般例化DUT和 UVM test class, 并配置他们之间的connection。
值得注意的事,UVM test在run-time时动态例化。

UVM test

UVM test是top-level的component,典型的做法是,它执行三个反面任务:

  1. 例化top-level的environment
  2. 配置environment(通过factory overrides和configuration database)
  3. 通过invoking sequence来产生stimulus, 经environment作用于DUT

典型做法,做一个base test来例化enviroment和其他common items,然后其他的test在这个base test上extend来invoking目标sequence。

UVM environment

UVM enviroment 是一个层次化的(hierarchical) component,它将各个有相互关系的verification component group到一起。一般包含UVM agent, UVM scoreboard等。

UVM scoreboard

transaction level数据比对

UVM agent

包含 UVM sequencer, UVM driver和UVM monitor.

UVM driver

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值