5-2 Register model之access API

1 read/write

定义:

virtual task read(
	output uvm_status_e status,
	output uvm_reg_data_t value,
	input uvm_path_e path = UVM_DEFAULT_PATH,
	input uvm_reg_map map = null,
	input uvm_sequence_base parent = null,
	input int prior = -1,
	input uvm_object extension = null,
	input string fname = "",
	input int lineno = 0
)
virtual task write(
	output uvm_status_e status,
	input uvm_reg_data_t value,
	input uvm_path_e path = UVM_DEFAULT_PATH,
	input uvm_reg_map map = null,
	input uvm_sequence_base parent = null,
	input int prior = -1,
	input uvm_object extension = null,
	input string fname = "",
	input int lineno = 0
)
  1. front door方式
    使用示例:REGA.read(status,value,UVM_FRONTDOOR);
    BFM会发出读寄存器的transaction经总线读DUT内部寄存器然后DUT会返回transaction,monitor会采集这个transaction然后通过predictor将regmodel里面的mirror和desired value都

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
### 回答1: UVM寄存器模型是一种用于设计和验证硬件寄存器的方法。它提供了一种标准的方法来描述寄存器和寄存器字段,并允许验证工程师在验证过程中对寄存器进行访问和操作。UVM寄存器模型还提供了一种自动化的方式来生成寄存器访问代码,从而减少了验证工程师的工作量。 ### 回答2: UVM Register Model(统一校验方法)是一个现代的硬件验证框架,它是一种用于生成可重复使用的、面向对象的、模块化的寄存器模型的方法。它提供了一种简单和一致的方式来定义和使用寄存器模型,从而减少了验证测试的复杂性和开发时间。UVM Register Model(统一校验方法)还可以在设计阶段对整个寄存器系列进行建模,从而促进了协议学习、缩小开发时间和缩短上市时间。 UVM Register Model(统一校验方法)有两个主要的组成部分:寄存器模型和寄存器层次结构。寄存器模型提供了一种简单的方法来定义和使用寄存器,而寄存器层次结构则提供了一种灵活的方法来组织和验证在寄存器系统中使用的所有寄存器。 UVM Register Model(统一校验方法)提供了一系列的类来支持寄存器模型的构建和使用。这些类提供了寄存器和寄存器字段的抽象,并提供了一系列的方法来设置和读取寄存器的值。此外,还提供了一些类来支持寄存器的访问和控制。 总之,UVM Register Model(统一校验方法)提供了一种灵活、可重复使用的方式来定义和使用寄存器模型。它可以帮助验证工程师快速地完成验证任务,并且让设计工程师在设计时更加简便。 ### 回答3: UVM寄存器模型是一种用于测试寄存器功能的强大框架,它可以帮助我们更好地组织测试,节省时间和精力。 UVM寄存器模型主要有三个组件:寄存器,字段和块。 在寄存器组件中,我们可以定义我们想要测试的寄存器的属性和功能。我们可以定义寄存器的地址,读写权限,默认值等等。在定义寄存器时,我们可以使用UVM-REG宏,它可以自动生成一些常用的寄存器操作,如读写寄存器值,等待寄存器位变化等。 在字段组件中,我们可以定义一个寄存器中的位域,它可以帮助我们更好地测试不同的寄存器位。我们可以定义字段的位宽,访问权限,默认值等。 在块组件中,我们可以将寄存器分组,以便我们可以更好地组织和管理测试。例如,我们可以将所有与DMA相关的寄存器放在一个块中,并对该块执行单独的读写操作。 UVM寄存器模型的另一个重要方面是回调函数。回调函数可以帮助我们更好地处理寄存器读写事件,并使我们能够更好地保持测试的状态。 总之,UVM寄存器模型是一个非常强大的工具,可以帮助我们更好地测试寄存器功能。它可以帮助我们更好地组织测试,提高测试效率,并为我们提供了许多有用的功能和工具。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值