FPGA 如何产生LVDS输出

 

1. 使用OBUFDS原语例化差分输出缓冲器

module LVDSTest(
clkin,clkoutp,
clkoutn
    );

input clkin;
output clkoutp;
output clkoutn;

OBUFDS Mytest (.O(clkoutp), .OB(clkoutn), .I(clkin));
endmodule

 

OBUFDS Mytest (.O(clkoutp), .OB(clkoutn), .I(clkin));        //例化LVDS输出缓冲器

注意O对应P端,OB对应N端。

 

2. 分配Pin脚,PlanAhead截图如下:

注意:LVDS Pin正常应如图所示成对出现;

          分配LVDS pin脚时,需先查V5用户手册,确认该pin可被分配为LVDS IO,且属于IO属性,不能只是I属性;

          LVDS幅值在 I/O Std栏选择;

          输入可以为3.3V 信号。

 

参考网上信息:

 

      

Xilinx FPGA 如何使用LVDS(引用)

       无论使用HDL flow还是schematic flow,只需要例化IBUFDS,OBUFDS之类的差分缓冲器,就可以使用LVDS了。

       例化之后,要定位Pin位置,使用PACE,在IO Standard中选择LVDS33或者LVDS25,还能选择有DCI的版本。定端口时,注意看Datasheet中Pin名字分P/N,这P也要对应buffer中的P,N也要对应N。而且注意同一个bank只能有一个电压标准。

       如果用FPGA Editor观察布局布线后的情况,就会发现,FPGA Editor中没有IBUFDS这个Component,这个Buffer是藏在IOB里的,点击到Pin的图块中,可以看到里面有一个Buffer,就起到了IBUFDS这个作用。

http://hi.baidu.com/charles_yang/item/407673af848479d85af19161

 

 

 

  • 2
    点赞
  • 26
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
### 回答1: FPGA是现场可编程门阵列的缩写,是一种集成电路芯片,可以通过编程来实现特定功能。LVDS(低压差分信号)是一种高速的数字信号传输技术,常用于视频、音频和数据传输。LCD控制器是控制液晶显示屏的电子设备。 要使用FPGA实现LVDS信号输出LCD控制器,我们可以使用Verilog语言进行编程。 首先,我们需要了解所使用的FPGA芯片和LCD控制器的规格和接口要求。然后,根据LCD控制器的输入信号和时序,设计和实现相应的Verilog模块。 在Verilog中,我们可以使用模块化的方式构建设计,将各个功能模块分离开来。例如,我们可以设计一个模块来生成LVDS信号,并将其连接到LCD控制器模块的输出端口。我们还可以设计一个模块来处理LCD控制器的输入信号,并将其连接到FPGA芯片的其他功能模块。 在设计中,我们需要考虑时序和同步问题,以确保数据的准确传输和显示。我们可以使用时钟信号和状态机来控制数据的发送和接收。 实现过程中,我们需要根据FPGA芯片的规格和开发环境的要求进行编程和调试。在完成编程后,我们可以使用仿真工具来验证设计的正确性和功能性,确保它能够正确地输出LVDS信号并控制LCD显示屏。 总之,使用FPGA实现LVDS信号输出LCD控制器涉及Verilog编程和设计模块化的过程。通过正确的设计和调试,我们可以实现高质量的LVDS信号输出,并成功控制LCD显示屏的功能。 ### 回答2: FPGA是一种可编程逻辑器件,可用于实现各种数字电路功能。LVDS(Low Voltage Differential Signaling)是一种高速差分信号传输技术,常用于视频信号传输和LCD控制器中。而Verilog是一种硬件描述语言,可以用来描述和设计数字电路。 对于使用FPGA实现LVDS信号输出LCD控制器,首先需要对LCD的驱动进行了解,包括时序和信号特性等。然后,我们可以使用Verilog语言来编写LCD控制器的逻辑电路。 要实现LVDS信号输出,我们需要利用FPGA的高速差分信号IO资源和LVDS驱动器。在Verilog代码中,我们可以使用FPGA的差分信号IO接口来定义LVDS信号输出引脚,并使用相应的差分信号输出的IP核接口。 在编写Verilog代码时,我们需要考虑时钟和数据的同步问题。通常,LCD控制器使用一个时钟信号来进行数据传输和控制。我们可以使用FPGA内部的时钟网进行时钟分频和同步控制。同时,我们还需要定义和实现数据线与LVDS的转换逻辑,以将图像数据转换为LVDS格式的数据。 为了验证我们的设计,我们可以通过仿真或硬件验证的方式进行测试。在仿真过程中,我们可以使用Verilog仿真软件对我们编写的代码进行功能验证。在硬件验证过程中,我们可以将设计烧录到FPGA芯片中,并连接FPGA芯片和LCD显示屏进行实际测试。 总而言之,通过使用FPGA来实现LVDS信号输出LCD控制器,我们可以通过Verilog代码对LCD的驱动逻辑进行描述和实现,并利用FPGA的差分信号IO资源和LVDS驱动器来实现高速差分信号输出。这种方法可以实现LCD控制器的灵活性和可编程性,以满足不同应用场景的需求。
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值