自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(15)
  • 资源 (4)
  • 收藏
  • 关注

原创 宽带阻抗匹配的工程实现-第一步,端口驻波仿真

其实阻抗匹配我工作以来经常说,也经常做,但是基本上都是直接在印制板上进行调试。现在想先用仿真软件直接设计出来,才发现很多东西嘴上说容易,想做出来太难了。所以准备写一些文章,记录一下碰到的问题。

2023-12-21 15:35:43 1378

原创 模拟滤波器工程设计

使用matlab验证滤波器零、极点对幅频响应的影响

2023-12-08 10:43:00 464

原创 关于仿真ZYNQ时无法模拟PS端对PL端控制信号的问题

testbench问题请教。关于ZYNQ里面无法模拟PS端控制信号的解决办法。

2022-11-29 11:31:23 1208 1

原创 FPGA程序远程在线更新QUICKBOOT

FPGA通过multiboot进行远程更新工程实现前言学习一下FPGA的远程在线更新功能 QUICKBOOT。一、更新流程这部分是直接摘抄的官方文档。1、关键开关字的控制。2、Flash 存储器与QuickBoot flash 模块的地址映射。3、位流包的大小以及Flash 存储器大小的确定。4、QuickBoot配置时间5、QuickBoot验证update bitstream的正确性二、具体操作1.生成MCS文件首先需要把我们工程

2021-09-16 17:16:06 7882 18

原创 使用MATLAB对VIVADO工程进行simulink仿真

以前我的FPGA工程都是自己编写TESTBENCH进行仿真,不过有几个缺点:1,随着工程的复杂程度,需要编写的TESTBENCH也越来越复杂,工作量太大。2,输入信号不好模拟,只能生成一些比较标准的信号。复杂信号的产生也是需要很大的工作量。最近发现MATLAB和XILINX官方有合作的simulink,可以很方便地对VIVADO工程进行仿真,就把自己做的一个小工程拿来练习了一下。我用的是MATLAB2018,首先打开里面的simulink,如下所示:![在这里插入图片描述](https://img

2021-05-07 17:38:03 7621 4

原创 利用FPGA将收到的时码转换成B码输出(新增ZYNQ版本)

最近做了一个模块,是通过网口将时码发送到模块,然后通过STM32转发给FPGA,最后在FPGA内部转换成标准的IRIG-B(DC)码输出。新增ZYNQ版本。.

2021-03-23 18:10:53 1107 7

原创 FPGA问题汇总

准备在这篇文章里面记录工作中碰到的FPGA的各种问题和解决办法,不定期更新。

2020-08-07 16:02:09 10598 4

原创 VIVADO在implementation时不满足时序要求

信号建立时间不满足时序要求,FPGA的除法运算,流水线加法

2020-07-27 15:56:11 8716 3

原创 VIVADO的差分转单端IBUFDS的使用

外部控制器与FPGA通信的输入输出都是采用的差分信号,所以在FPGA内部处理的时候,对于输入信号需要差分转单端,输出信号需要单端转差分。 直接使用IBUFDS和OBUFDS来实现。 ` //差分转单端IBUFDS RXD_FPGA_diff ( .I(RXD_P_FPGA), .IB(RXD_N_FPGA), .O(RXD_FPGA) );` //单端转差分 OBUFDS TXD_FPGA_diff ( .O(TXD_P_FPGA), ...

2020-07-06 11:43:47 8161 1

原创 VIVADO的ILA调试

1.在使用ILA的核时,不能只把需要测试的信号连接到ILA,还必须设置成端口,不然会在编译时被删除,但是不会报错,只会警告,而且在波形调试界面看不到信号。应该连接成下图这样。2.JTAG的工作频率应该设置成CLK频率的分频数。3.对于两个不同时钟域的信号,使用两个ILA后,综合时自动将DBG_HUB的CLK连接到频率更高的那个时钟,导致烧程序到片上时报错如下:在XDC文件里面手动加了一句...

2020-04-10 14:31:46 4532

原创 MYIR-ZYNQ7000学习(使用FIFO读取ADC数据)

1.目标准备使用FPGA的FIFO功能读取AD9629的12位采样数据,再发送到ARM里面进行处理。ADC的采样率为20MHz,一共采集1ms时间的数据,共200k数据。2.

2020-01-13 15:59:42 3471 2

原创 STM32F207通过FSMC读取ADC数据

STM32F207通过FSMC读取ADC数据1.控制寄存器设置19:写突发使能0:写操作为异步模式,1:同步模式15:异步传输等待模式0:异步时不等待(默认),1:异步时等待14:扩展模式可编程BWTR寄存器0:关闭(默认),1:开启13:等待模式0:NWAIT无效,1:有效(默认)2.读数周期...

2019-12-23 09:40:18 3240 2

原创 电缆对驻波测试的影响

所谓的传输线上反射系数处处相等,是指对于一个固定长度的传输线,其上的反射系数处处相等。但是如果长度改变,它的整个反射系数会变成另外一个值。所以才有了开路线与短路线的说法。但是对于做好的电缆来说,它们的反射系数一般都很小。就不管长度是一米还是10米,如果他们的反射系数都做成0.1,那它们的等效电长度就是一样的。所以说电缆的物理长度对于驻波测试应该是没有影响,有影响的是他们的等效电长度,会影响驻波测...

2019-12-18 21:13:17 1267

原创 AD9629调试

数据格式为偏移二进制码。

2019-12-06 11:13:41 980

原创 ADCLK905调试

ADCLK905采用的单端转差分模式,输入时钟信号正常,经过电容C61后变成一个高电平。去掉C61后直接短路,1脚输入正常,但是输出的11,12脚都是高电平。2脚测量为低电平。...

2019-12-06 10:02:45 570 6

MATLAB仿真文件,对IQ数据进行FFT

MATLAB仿真文件,对IQ数据进行FFT

2023-03-11

EXCEL把一个表格的内容按照要求拆分成两个

使用的EXCEL自带的宏命令文件,详细说明见read me

2023-03-08

FPGA远程更新工程(内含仿真文件)

使用VIVADO 2018.1版本,相关链接https://blog.csdn.net/pp_0604/article/details/120332536#comments_25429995

2023-03-08

ZYNQ版本B码调试模块

FPGA部分修改: 1.优化了部分代码。 2.增加了错误时标的输出格式,一共2种,一种是第5秒的时候会跳秒;第二种是一直发送秒全为0的时标。 3.增加了年的设置,同时发送军标格式的年和网标格式的年(因为有些设备识别军标格式,有些设备识别网标格式,并且可能会有冲突,会导致接收出错,需要根据对应设备进行修改代码)。 ARM部分修改: 1.使用ZYNQ内部的AXI总线进行通信,不再使用FSMC。 2.使用网口与PC进行通信。

2022-07-04

Bcode_160T.rar

FPGA工程,修正了几个BUG

2021-11-23

利用FPGA产生B码的VIVADO工程

是通过网口将时码发送到模块,然后通过STM32转发给FPGA,最后在FPGA内部转换成标准的IRIG-B(DC)码输出。此版本有几处BUG,新版本在https://download.csdn.net/download/pp_0604/47261490

2021-03-23

VIVADO练习工程

一个练习VIVADO的工程文件,练习使用FIFO,里面有几处错误,还没有找到原因。刚刚开始学习VIVADO和FPGA还有ZYNQ。写得比较乱

2020-07-30

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除