VIVADO的ILA调试

1.在使用ILA的核时,不能只把需要测试的信号连接到ILA,还必须设置成端口,不然会在编译时被删除,但是不会报错,只会警告,而且在波形调试界面看不到信号。应该连接成下图这样。
在这里插入图片描述
2.JTAG的工作频率应该设置成CLK频率的分频数。
3.对于两个不同时钟域的信号,使用两个ILA后,综合时自动将DBG_HUB的CLK连接到频率更高的那个时钟,导致烧程序到片上时报错如下:
在这里插入图片描述
在XDC文件里面手动加了一句
connect_debug_port dbg_hub/clk [get_nets FIFO_wr_clk]
后,编译时将CLK连接到了指定的时钟上面。烧写程序后也通过了,出现了两个ILA界面,使用FIFO_wr_clk的ILA工作正常,但是第二个ILA运行时出错。

在这里插入图片描述
调试发现是因为PLL的输出100MHz时钟没有。

  • 3
    点赞
  • 22
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

pp_0604

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值