自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(5)
  • 资源 (19)
  • 收藏
  • 关注

原创 vivado (*mark_debug = "true"*) use guide

Add   (*mark_debug = "true"*)   before signalRun synthesis ,then  open synthesized Design -> Set Up Debug add or delete signals,   then click ->NextSet ila core option.  Depth can chang...

2018-12-26 08:45:04 18842 1

转载 C# 学习记录二

1.  byte转字符串byte bb = 0X0B;string s1 = bb.ToString();      //S1="11"string s2 = bb.ToString("x");   //S1="b"string s3 = bb.ToString("x2");  //S1="0b"string s4 = bb.ToString("X2");  //S1=&quo

2018-12-25 19:06:40 117

转载 STM32 小端模式解释

存储模式:小端:较高的有效字节存储在较高的存储器地址,较低的有效字节存储在较低的存储器地址。大端:较高的有效字节存储在较低的存储器地址,较低的有效字节存储在较高的存储器地址。STM32 属于小端模式,简单地说:比如:temp=0X12345678;假设temp的地址为:0X4000 0000那么,在内存里面,其存储就变成了:| 地址 | HEX ||0X4000 0000...

2018-12-25 17:08:26 2028

转载 MDK5 生成bin文件

fromelf.exe --bin -o "$L@L.bin" "#L"http://forum.eepw.com.cn/forum/thread/threadid/278520)

2018-12-25 11:08:35 291

原创 vivado sdk io

 #include <stdio.h> #include“platform.h” #include“xil_printf.h” #include“sleep.h” #include“xparameters.h” #include“xgpio.h” XGpio LED; / * GPIO驱动程序的实例* / int main(){     init_platform();    ...

2018-12-21 11:22:38 927

InstallSoftingOpcUaClient2.30.0

免费的OPC UA client工具。亲测可用。

2023-09-06

linaro-precise-developer-20121124-513.tar.gz

linaro的rootfs

2022-06-18

image.ub

image.ub

2022-03-31

BOOT.BIN

BOOT.BIN

2022-03-31

system-user.dtsi

system-user.dtsi

2022-03-31

FPGA千兆以太网程序案列

FPGA千兆以太网程序案列

2021-01-13

MicroZus_20170910.rar

MicroZus_20170910。电路板的原理图,用户手册,以及黑色的zynq开发文档。xc7z020

2019-10-11

XC7Z015-1CLG485I原理图和封装AD版本

XC7Z015-1CLG485I原理图和封装AD 13。搭配我上传的上一个文件,有需要的下载,花了1个小时制作呢。

2018-12-13

AD导入xilinx FPGA原理图和封装教程

教你怎么去官网下载文件,并逐步导入原理图和生成PCB封装。以xilinx XC7Z0151-1CLG485I为例。

2018-12-13

Verilog SPI AD7767

AD7767的Verilog 读程序,还有AD7767的中文文档。可供简单参考。很早以前写的代码,感觉很烂,就不要分了。能用。

2018-12-03

Verilog IIC ADT7420

Verilog 写的IIC 读写。示例是读温度传感器ADT7420。千万要注意IIC 的SDA一定要上拉。

2018-12-03

带fifo的Verilog uart模块(单.v文件)

参考黑金的串口收发,将串口收发和fifo写在一个.v文件中,操作接口主要变为fifo,rx_fifo_empty!=1时,有收到数据,读出来即可,发送串口只需要往fifo中存入数据。接收时判断起始位为低和停止位为高,防止上电前串口上一直有数据发送进来而引起的误码。

2018-04-18

MAX14830参考配置代码

用stm32cube 配置完硬件SPI后,自己写SPI寄存器完成读写功能。代码是MAX14830的参考配置,配置外部晶振1.8432M,波特率256K,长度8bit,具体再参考本人博客,绝对很快驱动好MAX14830。这个片子用的人很少,留点资料。

2018-04-14

89C51&LCD1602密码锁可掉电保存密码.rar

如题。89C51驱动LCD1602 ,驱动按键,我也忘了还有什么了,反正不要分,做课程设计的可以看看哟。

2017-01-11

课程设计——基于51单片机的脉搏频率计设计(Proteus仿真+程序+Word)

如题所示,拿去做作业吧。

2017-01-09

Verilog IIC读MPU6050-融合滤波-单轴-代码-随笔

本代码实现了读MPU6050 三轴6个数据,用其中的GY和AZ、AX结合融合滤波算法,解出X单轴角度,并在黑金开发板的EP4C15F17C8芯片上调试成功,±5°范围内LED灯灭,左右摆动时相应左右灯亮。 顶层模块每隔5ms,发出一个is_read高电平,下面的模块读取一次数据,并计算,更新LED状态。有关计算都用的ip核,占用资源很大。 代码随笔,希望对小小小小白有所帮助。 压缩包里面有代码.v和doc随笔。

2016-09-03

HC05-蓝牙设置上位机-开放源码v1.1

HC05-蓝牙设置上位机-开放源码。集成波特率、主从、密码的写入与读出按钮,和自定义指令框。比串口助手稍微好用点。附源码。更新版。才知道原来自己删除不了已上传的资源。

2016-08-10

stm8-stm32f103-megaAVR封装库

里面有stm8(16个)系列、stm32f103系列(9个),megaAVR(33个)的原理图和封装。

2016-08-04

安卓TCP client和TCP sever 开发示例

eclipse开发安卓程序的代码,包括TCP client和TCP sever。TCP client读数据的时候貌似有点问题,读出来的数据格式需要自己确认一下。忘了哪儿搞的了,分享给大家,感谢原作者。

2015-12-11

stm32f+ucos+uip+ds1307+dh11+ili9341

用stm32f103跑了ucos ii 2.86版本的,移植了uip 1.0,外扩的enc28j60模块连接网络,可监听80和1200端口,还可以读取时钟芯片ds1307和温湿度传感器DHT11的值,显示在tft屏幕上,驱动器ILI9341,只可显示没有弄触屏。代码写得有点小凌乱,将就看吧~~希望对小伙伴有所帮助。

2015-12-11

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除