`timescale理解

1 什么是`timescale命令

`timescale命令是用来说明该命令后的模块的时间单位和时间精度。
格式

`timescale<时间单位>/<时间精度>

  • 时间单位:用来定义模块中仿真时间和延迟时间的基准单位的;
  • 时间精度:用来声明该模块的仿真时间的精度程度。

需要注意的是

在使用`timescale时,前面为" `",在键盘左上角,数字键“1”前的按键,英文状态下可按出,并不是单引号‘,别被迷惑了。如果按错了,编译时就会问题!

2 使用要求

除了上述`timescale的格式外,还需要遵守以下三点:

  • 时间精度值不能大于时间单位值;
  • 时间单位和时间精度参量值的数字必须是整数,其有效值位1、10、100;
  • 单位可以是s、ms、us、ns、ps、fs(见下表)。
    在这里插入图片描述

3 `timescale命令的用法以及时间精度的理解

`timescale 10ns/1ns     //时间单位为10ns,时间精度为1ns
 
module test;
    reg set;
    parameter d1 = 1.54;
    parameter d2 = 1.55;
    initial begin
        #1     set = 0;     //1*10 ns  = 10ns
        #d1    set = 1;    //1.54*10ns = 15.4ns ≈ 15ns
        #d2    set = 0;    //1.55*10ns = 15.5ns ≈ 16ns
    end
endmodule

在这里插入图片描述
第1次在#1时对set赋值0,因为时间单位为10ns,#1表示延时1个时间单位,此时延时时间为10ns。
第2次在#d1时对set赋值1,#d1表示延时1.54个时间单位,又因为时间精度为1ns, 1ns=10^-1*10ns,可表达为1位小数的实型数,所以通过四舍五入即为1.5个时间单位,即15ns,加上第1次的延时时间10ns,此时延时时间为10ns+15ns=25ns。
第3次在#d2时对set赋值0,#d2表示延时1.55个时间单位,同理,根据时间精度可表达为1位小数的实型数,通过四舍五入即为1.6,即16ns,此时延时时间为25ns+16ns=41ns。

注意:时间精度越高,仿真所消耗的资源和时间就越多,如果没有必要,应尽量将时间精度设置得更大一些!

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

狮子座硅农(Leo ICer)

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值