FPGA烧程序步骤

FPGA烧程序步骤

准备工作:

  1. QuartusProgrammerSetup-14.0.186-windows.exe 软件
  2. FPGA/CPLD开发工具系列 USB Blaster编程器
  3. WITH_PCI_LED_RUN.pof程序文件

步骤:

  1. 运行 QuartusProgrammerSetup-14.0.186-windows.exe 安装软件
  2. 插入USB端编程器,“计算机”->设备管理器

安装USB驱动,选择路径“D:\altera\14.1\qprogrammer\drivers\usb-blaster”

  1. 运行工具软件Quartus II 14.1 Programmer

4.选择“Hardware Setup...”

 选择系统识别出来的“USB-Blaster[USB-0]”

Mode: 选择“Active Serial Programming”

单击“Add File...”

选择要烧录的文件,如WITH_PCI_LED_RUN.pof 文件 

打钩选择“Program/configure” 和“verify”

 接通主板电源

单击“Start”

等待系统执行,直到Progress:100%(Successful),表示烧写成功,否则有错误,需要检查错误的原因。

  • 3
    点赞
  • 40
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

qinfen123456

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值