Verilog语言实现2分频、3分频、4分频、8分频+产生指定频率的时钟周期

1.一个计数器就可以搞定:偶数次分频

如果在一个大模块中同时实现四分频、八分频,书写形式有两种:

//第一种四分频、八分频写法
reg [1:0] div_cnt1;
always@(posedge clk_i or negedge rst_n_i)
begin
	if(!rst_n_i)
		div_cnt1<=2'b00;
	else
		div_cnt1<=div_cnt1+1'b1;
end

always@(posedge clk_i or negedge rst_n_i)  //四分频 
begin                                      //计数器放在外面 来实现计数   div_cnt1
	if(!rst_n_i)                           //00 01 10 11 捕捉00和10 实现四分频
		div4_o_r<=1'b0;
	else if(div_cnt1==2'b00 || div_cnt1==2'b10)
		div4_o_r<=~div4_o_r;
	else
		div4_o_r<=div4_o_r;
end

always@(posedge clk_i or negedge rst_n_i)  //八分频
begin                                      //基于同一个计数器实现计数  注意比较方式
	if(!rst_n_i)                           //00 01 10 11 捕捉11  实现8分频
		div8_o_r<=1'b0;
	else if((~div_cnt1[0]) && (~div_cnt1[1]))   //两个&&是逻辑运算
		div8_o_r<=~div8_o_r;
	else
		div8_o_r<=div8_o_r;
end

第一种分频写法是,将计数器计数和分频器输出写在两个always语句块中。

//第二种四分频八分频的写法
reg counter_4;
reg [1:0] counter_8;

always @(posedge clk_i or negedge ngrst_i) begin
	if(~ngrst_i) begin
		counter_4 <= 0;
		div4_o = 0;
	end else if(counter_4 == 1) begin
		counter_4 <= 0;
		div4_o <= ~div4_o;
	end else if(counter_4 == 0) begin
		counter_4 <= counter_4 + 1;
	end
end

always @(posedge clk_i or negedge ngrst_i) begin
	if(~ngrst_i) begin
		counter_8 <= 0;
		div8_o = 0;
	end else if(counter_8 == 3) begin
		counter_8 <= 0;
		div8_o <= ~div8_o;
	end else begin
		counter_8 <= counter_8 + 1;
	end
end

很显然第二种写法是将计数器计数和分频输出写在了同一个语句块中。

分析以上两种写法,显然,第一种写法更值得推荐,因为对于四分频和八分频电路,第一种写法共用一个计数器,更节省资源。

二分频的实现比较简单

reg div2_o_r; //二分频电路实际上不需要过于复杂 不需要计数器进行计数
always@(posedge clk_i or negedge rst_n_i)
begin
	if(!rst_n_i)
		div2_o_r<=1'b0;
	else 
		div2_o_r<=~div2_o_r;
end

2.需要多个计数器搞定:奇数次分频

这里那三分频来举例,一般来说,三分频电路需要两个计数器,一个用来记录上升沿,两一个用来记录下降沿;分频器的输出也是两个分支输出相或的结果。

//三分频电路的实现

reg [1:0] pos_cnt;  //上升沿计数
reg [1:0] neg_cnt;  //下降沿计数
 
always@(posedge clk or negedge rst_n)  //上升沿;复位清零;计到2清零
begin
	if(!rst_n)
		pos_cnt<=2'b00;
	else if(pos_cnt==2'd2)
		pos_cnt<=2'b00;
	else
		pos_cnt<=pos_cnt+1'b1;
end
 
always@(negedge clk or negedge rst_n)  //下降沿;复位清零;计到2清零
begin
	if(!rst_n)	
		neg_cnt<=2'b00;
	else if(neg_cnt==2'd2)
		neg_cnt<=2'b00;
	else
		neg_cnt<=neg_cnt+1'b1;
end
 
reg div3_o_r0;
reg div3_o_r1;
 
always@(posedge clk or negedge rst_n)
begin
	if(!rst_n)
		div3_o_r0<=1'b0;
	else if(pos_cnt<2'd1)
		div3_o_r0<=1'b1;
	else
		div3_o_r0<=1'b0;
end
 
always@(negedge clk or negedge rst_n)
begin
	if(!rst_n)
		div3_o_r1<=1'b0;
	else if(neg_cnt<2'd1)	
		div3_o_r1<=1'b1;
	else
		div3_o_r1<=1'b0;
end
 
assign div3_o=div3_o_r0 | div3_o_r1;

如上图 div3_0_r0 和 r1 相或即可得到目标波形。

 

下面的代码也可以实现三分频输出,但实际上这样的写法是错误的,仿真可以通过,但是不可综合:

reg [2:0] counter_3;

always @(posedge clk_i or negedge ngrst_i or negedge clk_i) begin
	if(~ngrst_i) begin
		counter_3 <= 0;
		div3_o = 0;
	end else if(counter_3 == 2) begin
		counter_3 <= 0;
		div3_o <= ~div3_o;
	end else begin
		counter_3 <= counter_3 + 1;
	end
end

注意:不可以在同一个always语句块中同时监测时钟的上升沿和下降沿。因为不可综合。

 

3.FPGA的时钟频率为50MHz,产生频率2hz的时钟

1/50M = 0.02 * 10^(-6) s = 20ns 

1/2 = 0.5s = 0.5 * 10^9 ns = 5 * 10^8 ns

(5 * 10^8 ns) / 20 = 2500_0000 

如果占空比为50%,则计数器每计到 12_499999 和 24_999999 的时候,时钟输出翻转一次。

reg [25:0] div2hz_cnt;

always@(posedge clk_i or negedge rst_n_i)
begin
	if(!rst_n_i)
		div2hz_o_r<=0;
	else if(div2hz_cnt==26'd12_499999 || div2hz_cnt==26'd24_999999)
		div2hz_o_r<=~div2hz_o_r;
	else
		div2hz_o_r<=div2hz_o_r;
end

 

  • 30
    点赞
  • 244
    收藏
    觉得还不错? 一键收藏
  • 8
    评论
### 回答1: Verilog 用于实现时钟分频功能的方法是使用计数器,以及一个用于判断何时输出时钟信号的控制信号。基本步骤是:1)设置一个频率值,2)用计数器在每次时钟周期中计数,3)当计数器达到预设值时,输出一个控制信号,4)根据控制信号发出时钟信号。 ### 回答2: Verilog是一种硬件描述语言(HDL),用于描述数字电路和系统。时钟分频是一种常见的功能,可以实现减慢时钟频率以适应特定的设计需求。 要实现时钟分频功能,可以使用计数器和触发器来控制时钟频率。 首先,我们需要声明一个计数器变量来记录时钟的计数值。然后,我们可以使用一个条件语句来控制何时更新计数器的值。例如,我们可以使用一个立即执行条件,当计数器达到特定的值时,将其重置为0,并设置一个输出信号以表示时钟分频。 下面是一个Verilog实现时钟分频功能的简单示例: module clock_divider ( input clk, input [31:0] count_value, output reg out_clk ); reg [31:0] counter; always@(posedge clk) begin if(counter == count_value) begin counter <= 0; out_clk <= ~out_clk; end else begin counter <= counter + 1; end end endmodule 在这个例子中,我们声明了一个输入时钟信号`clk`,一个计数器值信号`count_value`,以及一个输出时钟信号`out_clk`。`counter`变量用于记录时钟的计数值。 在`always`块中,我们使用一个条件语句来控制何时更新计数器的值。当计数器`counter`的值等于`count_value`时,表示已经达到了分频的条件,我们将计数器重置为0,并切换输出时钟信号`out_clk`的值。否则,我们将计数器的值加一。 要使用这个时钟分频模块,我们需要在顶层模块中实例化它,并为输入时钟信号和计数器值信号提供适当的值。输出时钟信号可以连接到其他模块中的时钟输入端口。 总结起来,时钟分频是一种常见的功能,在Verilog中可以通过使用计数器和触发器来实现。通过控制计数器的值和输出时钟的状态,可以实现减慢时钟频率的目的。 ### 回答3: 时钟分频是指将输入时钟信号分频为较低频率的输出时钟信号。在Verilog中,我们可以通过使用计数器来实现时钟分频功能。 首先,我们定义一个计数器变量,用于对输入时钟进行计数。然后,我们定义一个参数或变量,用于指定所需的分频倍数。接下来,在每个时钟周期的顶部,我们对计数器进行递增操作,并且当计数器达到指定分频倍数时,我们将输出时钟变为高电平。最后,在计数器超过指定分频倍数之后,我们将输出时钟变为低电平,并重新开始计数。 下面是一个示例Verilog代码,实现将输入时钟分频为8倍的功能: module clock_divider ( input wire clk_in, output wire clk_out ); parameter DIVISOR = 8; // 分频倍数 reg [2:0] counter = 0; // 计数器变量 always @(posedge clk_in) begin if (counter == (DIVISOR - 1)) begin counter <= 0; clk_out <= 1; end else begin counter <= counter + 1; clk_out <= 0; end end endmodule 在这个例子中,当计数器达到7 (8-1) 时,我们将输出时钟变为高电平(1),并重新开始计数(将计数器清零)。在计数器没有达到7之前,输出时钟始终保持低电平(0)。 该代码可根据DIVISOR参数的设置,将输入时钟分频为8倍。这样,输出时钟频率将是输入时钟频率的1/8。通过调整DIVISOR参数的值,我们可以实现不同的分频倍数。
评论 8
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值