TB程序化接口源码分享

TB程序化接口是由软件商开拓者所研发设计的程序化交易平台,它是一款支持证券、期货实盘交易的量化交易工具,功能之强大也不需要小编多说了,今日我们就来分享一套TB程序化接口源码,希望可以帮助到有需要的投资者。

Bool bInitStatues(false); // 初始化标志,修改初始仓位时需设置为True
Numeric InitMyRealMp(0); // 初始化当前仓位,正数表示多单,负数表示空单

Numeric FirstGrid(30); // 第一笔交易的间距,最小跳动;
Numeric AddGrid(5); // 加仓间距,最小跳动

Numeric TotalGrids(10); // 最大交易次数

Numeric TrailingGrid(30); // 移动止损间距,最小跳动

Numeric EveryLots(1); // 每次开仓手数

Numeric OffSet(1); // 委托价偏差,默认买卖价偏差为1个滑点

Numeric ExitOnCloseMins(14.58); //收盘平仓时间

Vars

Numeric HighAfterLongEntry;

Numeric LowAfterShortEntry;

Numeric MyRealMp(0);

Numeric MinPoint;

Numeric tmpPrice;

Numeric tmpLots;

Begin

MinPoint=Minmove*PriceScale;

MyRealMp=GetGlobalVar(0);

HighAfterLongEntry=GetGlobalVar(1);

LowAfterShortEntry=GetGlobalVar(2)

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
以下是一个简单的FPGA空调控制代码和仿真程序,实现了温度控制和风速控制功能。 FPGA空调控制代码: ``` module air_conditioner_controller( input clk, input rst, input [7:0] temperature, input [1:0] mode, input [1:0] fan_speed, input [1:0] power_button, output reg [1:0] compressor, output reg [1:0] fan ); // 状态定义 parameter OFF = 2'b00; parameter ON = 2'b01; parameter COOL = 2'b10; parameter HEAT = 2'b11; // 内部状态变量 reg [1:0] state; reg [1:0] next_state; // 内部控制变量 reg [1:0] compressor_control; reg [1:0] fan_control; // 内部参数变量 reg [7:0] set_temperature; reg [1:0] set_mode; reg [1:0] set_fan_speed; // 状态机过程 always@(posedge clk or posedge rst) begin if(rst) begin state <= OFF; compressor_control <= 0; fan_control <= 0; set_temperature <= 25; set_mode <= 0; set_fan_speed <= 0; end else begin state <= next_state; compressor <= compressor_control; fan <= fan_control; end end // 状态机逻辑 always@(*) begin case(state) OFF: begin compressor_control <= 0; fan_control <= 0; if(power_button == 2'b01) begin next_state = ON; end else begin next_state = OFF; end end ON: begin set_temperature <= temperature; set_mode <= mode; set_fan_speed <= fan_speed; if(power_button == 2'b00) begin next_state = OFF; end else if(set_mode == 2'b00) begin next_state = ON; end else if(set_mode == 2'b01) begin next_state = COOL; end else if(set_mode == 2'b10) begin next_state = HEAT; end else if(set_mode == 2'b11) begin next_state = ON; end end COOL: begin compressor_control <= 2'b10; fan_control <= set_fan_speed; if(temperature <= set_temperature - 2) begin next_state = COOL; end else if(temperature >= set_temperature) begin next_state = ON; end end HEAT: begin compressor_control <= 2'b01; fan_control <= set_fan_speed; if(temperature >= set_temperature + 2) begin next_state = HEAT; end else if(temperature <= set_temperature) begin next_state = ON; end end default: next_state = OFF; endcase end endmodule ``` 仿真程序: ``` `timescale 1ns/1ps module air_conditioner_controller_tb(); // 输入信号 reg clk; reg rst; reg [7:0] temperature; reg [1:0] mode; reg [1:0] fan_speed; reg [1:0] power_button; // 输出信号 wire [1:0] compressor; wire [1:0] fan; // 实例化DUT air_conditioner_controller dut( .clk(clk), .rst(rst), .temperature(temperature), .mode(mode), .fan_speed(fan_speed), .power_button(power_button), .compressor(compressor), .fan(fan) ); // 时钟信号 always begin clk = 0; #5ns; clk = 1; #5ns; end // 初始化信号 initial begin rst = 1; temperature = 25; mode = 2'b01; fan_speed = 2'b01; power_button = 2'b00; #10ns; rst = 0; #10ns; power_button = 2'b01; #10ns; mode = 2'b00; #10ns; power_button = 2'b00; #500ns; temperature = 20; #500ns; temperature = 30; #500ns; fan_speed = 2'b10; #500ns; fan_speed = 2'b01; #500ns; mode = 2'b10; #500ns; mode = 2'b01; #500ns; power_button = 2'b01; #500ns; power_button = 2'b00; #500ns; $finish; end endmodule ``` 这个仿真程序通过模拟输入信号(温度、模式、风速、开关按钮等)来测试空调控制器的输出信号(压缩机、风扇的工作状态)。具体实现中,需要根据具体的硬件环境和需求进行调整和优化。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值