systemverilog fork join for loop

为了使用for循环实现多个线程并行执行
automatic int k = j; 必须在begin end 外面
否则每次k都等于4

module automatic test;
  initial begin
    for (int j = 0; j < 3; j++)
      fork //begin
        automatic int k = j; 
        // k = j;
 
        $write (k);
      //end
      join_none
    #0 $display ("\n test");
  end
 
endmodule
  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值