systermverilog中for循环中的fork join执行情况分析

本文分析了SystemVerilog中fork join和fork join_none在for循环中的执行情况。在fork join中,进程按创建顺序执行,与for循环同步;而在fork join_none中,进程在for循环结束后同时启动,导致输出值为5。为解决此问题,建议使用wait fork或动态变量赋值。代码示例揭示了赋值延迟现象,值得深入探讨。
摘要由CSDN通过智能技术生成

1.fork join

由于需要等到fork join里面的进程执行完毕才能执行fork语句外面的进程,所以打印结果与for循环语句创建进程的先后相一致。

module fork_jion();
initial begin
  for(int i=0; i<5;i++)begin
    fork
	  $display("i is %d",i);
	join
  end
end
endmodule

2.fork join_none

由于fork join_none语句不会阻塞后面的进程,所以for循环会先创建5个fork join_none进程,并结束for循环,然后这五个进程同时执行,由于执行的时间是for循环结束后,此时i的值刚好不满足for循环,所以打印的值都为5。

module fork_jion_none();
initial begin
  for(int i=0; i<5;i++)begin
    fork
	  $display("i is %d",i);
	join_none
  end
end
endmodule

面对以上情况可以

  • 1
    点赞
  • 11
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值