System Verilog学习6——过程块和方法

目录

1.硬件过程块

1.1 Verilog中的initial和always语句

1.1.1 initial语句

1.1.2 always语句

2. Verilog中的task和function说明语句

2.1 task和function说明语句的不同点

2.2 task语句说明

2.3 function语句说明



1.硬件过程块

在SV中应该先清楚哪些语句用于硬件,哪些用于软件。为了区分硬件设计、软件世界,我们将定义的软件变量或者例化的硬件其所在的空间称之为域。

module/endmodule,interface/endinterface可以被视为硬件世界;

program/endprogram和class/endclass可以被视为软件世界。

1.1 Verilog中的initial和always语句

一个程序模块中可以有多个initial和always模块,每个initial和always说明语句在仿真一开始就会立即开始执行,initial语句只执行一次,而always语句则是不断重复执行,直到仿真过程结束。

1.1.1 initial语句

initial语句主要有两个功能,变量初始化以及生成激励波形

对变量的初始化代码如下:

initial
   begin
     areg=0;
   for(index=0;index<size;index=index+1)
     memory[index]=0;
   end

生成激励波形代码如下:

initial
  begin
  inputs='b000000;
 #10 inputs='b011001;
 #10 inputs='b011011;
 #10 inputs='b011000;
 #10 inputs='b001000;
  end
 

注意:一个模块中可以有多个initial语句,它们都是并行执行的,initial常用于测试文件和虚拟模块的编写,用来产生仿真测试信号和设置信号记录等仿真环境。

1.1.2 always语句

always语句由于其不断活动的特性,只有和一定的时序控制组合在一起才能使用。其格式如下:

always <时序控制> <语句>

可作为激励信号测试电路,如下所示生成信号周期为period的信号波形:

always #half_period areg = ~areg;

时序控制可以是边沿触发也可以是电平触发,可以是单个信号也可以是多个信号,中间需要用关键字or连接,如下所示:


                
  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
SystemVerilog是一种硬件描述语言,它在Verilog的基础上进行了扩展和增强。在SystemVerilog中,有几个与编译顺序相关的问题需要注意。 首先,SystemVerilog中的编译顺序是从上到下的,也就是说,先编译的代码会先执行。这意味着在程序中定义的变量和模块需要在使用之前进行声明和定义。 其次,SystemVerilog中的作用域规则是按照层次结构进行的。当使用一个变量名时,SystemVerilog会先在当前作用域内寻找,然后在上一级作用域内寻找,直到找到该变量为止。这也适用于类的作用域。如果在类的底层作用域中想明确引用类级别的对象,可以使用关键字"this"来指明。 此外,在SystemVerilog中,可以在program中使用initial块来执行一些初始化操作,但不能使用always块。如果确实需要一个always块,可以使用"initial forever"来实现相同的功能。 综上所述,SystemVerilog中的编译顺序是从上到下的,作用域规则按照层次结构进行,可以使用关键字"this"来明确引用类级别的对象,可以使用initial块来执行初始化操作。 #### 引用[.reference_title] - *1* [SystemVerilog学习整理——数据类型](https://blog.csdn.net/lizhao_yang_/article/details/121641415)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insertT0,239^v3^insert_chatgpt"}} ] [.reference_item] - *2* *3* [SystemVerilog总结](https://blog.csdn.net/houshidai/article/details/124989265)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insertT0,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值