【Vivado】学习笔记(1)

这篇博客记录了作者初涉嵌入式领域使用Vivado进行项目创建的过程,包括新建项目、创建块设计、进行项目综合、生成比特流文件、建立BSP板级支持包,最后到在开发板上的调试步骤。通过这些步骤,作者旨在为后续深入理解每个环节打下基础。
摘要由CSDN通过智能技术生成

刚刚接触嵌入式,有许多东西不明白为什么,先记下步骤,以后才慢慢探究为什么。

创建项目

  • IDE版本:2017.1

新建项目

点击File->New Project。接着就会弹出下面的对话框。点击Next。

这里写图片描述

填写项目名称后点击Next。

填写项目名称

选择RTL Project,然后点击next。

这里写图片描述

添加资源。(暂时不清楚怎么用)

这里写图片描述

添加约束?(暂时不清楚怎么用)

这里写图片描述

选择处理器型号?

这里写图片描述

这里写图片描述

创建块设计

点击左侧的Create Block Design。

这里写图片描述

输入块设计名称。

  • 3
    点赞
  • 12
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Vivado中,"count"可能指的是一个计数值,它是一个用于记录特定事件发生次数的变量。在引用中的描述中,它被定义为一个具有[log2(m)]位的计数器。该计数器可以用于不同的目的,如计时、计数等。在引用的示例代码中,"count"被初始化为0,并在一个无限循环中递增。每2秒钟,它会被打印出来以显示当前的计数值。 然而,在上下文中,我无法确定具体的含义和用法。如果您能提供更多相关信息,我将能够给出更准确的答案。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* [Vivado模拟器教程](https://download.csdn.net/download/xyl903481310/15891775)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 33.333333333333336%"] - *2* [FPGA 学习笔记Vivado 2018.2 MicroBlaze 输出 Hello World](https://blog.csdn.net/tcjy1000/article/details/126569095)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 33.333333333333336%"] - *3* [【FPGA】Vivado的IP的封装以及调用](https://blog.csdn.net/m0_63036399/article/details/126534909)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 33.333333333333336%"] [ .reference_list ]
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值