自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(23)
  • 资源 (6)
  • 收藏
  • 关注

原创 VIVADO 11.Divider除法器IP

1、测试环境vivado 2018.22、IP接口时钟使能 与 复位可定制。3、参数配置Algorithm Type LUTMult This is recommended for operand widths less than or equal to 12 bitsThis implementation uses DSP slices, block RAM and a small amount of FPGA logic primitiv

2020-12-04 17:04:59 8879 1

原创 VIVADO 10. Block Design调用模块IP

在VIVADO中Block Design设计中调用v或者vhd文件作为模块,如果模块中调用了IP,那么编译时会报下面这个错:[filemgmt 56-328] Reference 'input_buffer' contains sub-design file 'e:/Vivado_PRJ_18.2/0604/0604.srcs/sources_1/ip/input_ram/input_ram.xci', which is configured for out-of-context synthesis..

2020-06-22 21:01:09 5674 5

原创 VIVADO 9.Vivado启动tcl初始化脚本(永久多线程编译)

一、Vivado_init.tcl脚本当启动Vivado工具时,它会在三个不同的位置查找Tcl初始化脚本,每个位置都覆盖最后找到的一个企业:在软件安装目录中,<installdir>/Vivado/<version>/ scripts/Vivado_init.tcl。 Vivado Version:在本地用户目录中,用于Vivado设计套件的特定版本:Windows 7: %APPDATA%/Xilinx/Vivado/< Version >/Vivad..

2020-06-02 16:33:04 3385

原创 VIVADO 8.Ubuntu下卸载ViVado 2019.1

1.找到Vivado安装的目录下.xinstall/SDx_2019.1/xsetup,执行卸载。cd /opt/Xilinx/.xinstall/SDx_2019.1 sudo ./xsetup -Uninstall2. 卸载比安装快多了

2020-06-02 14:36:25 3614 1

原创 VIVADO 7.ZYNQ读取SD卡

环境:VIVADO 2018.2.SD卡文件系统格式FAT32.1.PS IP配置勾选SD0,MIO根据子的开发板配置好,WP(写保护)不要勾选。此处,生成bit流和导出步骤省略。2.建立SDK工程1>新建一个空的sdk工程,右键bsp工程->Board Support Package Setting。2>勾选xilffs。这里有个属性需要...

2020-01-08 19:24:57 2042

原创 VIVADO 6.axi_bram的使用

目录1.添加AXI_BRAM2.BRAM Controller设置:3.BRAM Controller模式4.Stand Alone模式兼容BRAM Controller模式1.添加AXI_BRAMAdd IP --> AXI_BRAM_ControllerRun Connection Automation,勾选BRAM_PORTA, options ...

2019-10-25 21:25:35 4507

原创 VIVADO 5.petalinux镜像制作

环境:vivado2019.1,petalinux2019.1。一.Vivado设置有板卡文件的使用板卡文件,这个经常因为一些地方没配置好,导致后面SD卡、网口不能用。给大家几张图参考下二.petalinux使用终端切换到工作的目录下,如我的/home/xilinx/work。1. 配置环境执行petalinux和Vivado的配置脚本source /tool...

2019-10-24 00:19:02 887

原创 VIVADO 4.CDMA的使用

本次实验测试CDMA来在ddr与bram之间搬运数据。1.Vivado工程CDMA上同时连接了四个BRAM。Bram设置为BRAM Controller模式,真双口BRAM,宽度64,深度1024,这样分配地址8k即可。2.地址分配(要注意)3.SDK代码#include "xparameters.h"#include ...

2019-10-21 21:41:29 2722

原创 VIVADO 3.zynq qspi启动

接上次zynq在SD卡启动,使用上次的工程。Xilinx-> Program Flash。可以先在Device后点击Select,检查一下是否识别出板子。如果没识别,有可能是虚拟机未连接到板子,另一种是板子jtag未识别,重新插拔一下就好。这样是正常连接。设置Image File添加上次生成的BOOT.binOffset为0Flash Type 按默认...

2019-09-02 16:24:14 782

原创 VIVADO 2.zynq如何在SD卡启动

一、创建一个硬件工程工程里肯定要有ZYNQ啦。添加IP,布局布线,分配地址。。zynq IP核需要在MIO Configuration-> I/O Peripherals -> SD 0 和UART 0后打上勾。二、编译完后,导出HDF文件File->Export -> Export Hardware,把“include bitstram”勾上。...

2019-09-02 15:44:38 7074

原创 HLS 4.子函数编写及调用

一.单个信号输入输出子函数接口参数需要定义成指针,输入的实际变量不要定义成指针。示例:将float去整并输出后几位。void float2uint16(float *a,uint16 *b){ int c=(int)(*a); *b=((c%10000)/1000)*4096+((c%1000)/100)*256+((c%100)/10)*16+c%10;}调用:取实际变...

2019-07-30 16:32:13 1060

原创 Python 1.socket通信

地址为服务端的地址,端口一致客户端import socketHOST = '192.168.2.1'PORT = 9999BUF_SIZE = 1024ADDR = (HOST,PORT)client = socket.socket()client.connect(ADDR)while True: data =input("client:") if ...

2019-05-24 19:50:14 113

原创 HLS 3.接口综合与结构体

结构体接口默认是拆分成它的成员,每个成员有单独的接口。DATA_PACK优化约束用来把结构体成员打包成一个宽的向量,所有成员能被同时读写,提高了吞吐量。成员按照c代码中的顺序,第一个成员对齐低位,最后一个成员对齐高位。DATA_PACK不支持队成员是结构体的结构体打包。DATA_PACK约束创建的唯一宽向量能够在一个时钟周期被存取。有种情况,结构体含有数组,有循环要使用这些数据并且数据能在...

2019-04-08 16:49:58 1231

原创 HLS 2.HLS接口

块级接口协议(Block-Level Interface Protocols)ap_ctrl_hs:默认模式,模块生成ap_ctrl协议接口(ap_start,ap_idle,ap_ready,ap_done)ap_ctrl_chain:比ap_ctrl_hs多个ap_continue接口(输入),由使用数据的后级模块反馈控制,0则模块停止操作,下一级不会运行ap_ctrl_none:...

2019-04-08 16:48:53 1185

原创 VIVADO 1.SDK如何查找API

1.外设的API编译完硬件工程,打开SDK后,会有system.mss文件打开点击要使用的查询的驱动对应外设后的Documentation即可2.操作系统API此次点击Standalone_v6_7没反应在E:\Xilinx\SDK\2018.2\data\embeddedsw\lib\bsp\standalone_v6_7\doc下有API的PDF。...

2019-03-30 16:31:24 1393

原创 HLS 1.如何在HLS上 使用xfopencv

准备vivado hls,xfopencv库xfopencv是xilinx最新的图像处理库,解压出来内容如下,include里是底层文件,前面三个文件夹是使用的例程。接下来要使用HLS_Use_Model下的例程文件,HLS_UseModel_Usage_Doc.pdf可详细参考。实验1.打开vivado hls2017.4,新建一个工程2.在Sourc...

2019-03-29 00:54:26 4793 14

原创 PYNQ上手 5.apt换源

板载系统是Ubuntu181.默认/etc/apt目录下没有sources.list文件,用管理员权限创建一个并打开sudo vi /etc/apt/sources.list2.添加想要换的源,我使用的163源,要注意使用ubuntu18的源deb http://mirrors.163.com/ubuntu/ bionic main restricted universe mu...

2018-11-28 20:23:46 829 2

原创 PYNQ上手 4.PUTTY登陆

这里有两种通过Putty访问PYNQ内linux系统的办法:网口,串口1.网口SSH这种需要用到网口线,把板子和电脑连接起来,板子的默认IP是192.168.2.99,本地网口地址也需要设置成192.168.2.x。点击OPEN,输入用户名:xilinx,再输入密码(不显示):xilinx2.串口Serial这种只需要供电的那根micro-usb线就够了Putty上...

2018-11-17 18:52:36 2511 1

原创 PYNQ上手 3.上网配置

可以先执行第4步测试下能不能ping通,不行的话回来从第一步执行1.配置网关由于/etc/network/interfaces是只读文件可用 sudo vi /etc/network/interfaces或sudo suchmod 777 /etc/network/interfacesvi /etc/network/interfaces如果设置动态IP#...

2018-11-15 22:20:28 4010 1

原创 PYNQ上手 2.常用脚本(常更)

1.IP配置PYNQ实验时自动配置成连接板子所需ip与恢复ip的脚本,用管理员模式运行https://download.csdn.net/download/qq_23379273/10770031

2018-11-07 13:30:17 285

转载 Vivado问题-1.导出新的 HDF 文件时,无法升级硬件平台项目

描述在 2017.2 版中,从 Vivado 向 SDK 导出一个新的 HDF 文件时,硬件平台项目中的文件无法正常升级。 这会导致用户在配置时不知不觉地使用一组旧文件;psx_init、mmi 和比特文件。此外,HDF 信息也可用于构建板级支持包 (BSP) 并设置相关编译器选项(如果使用 MicroBlaze)。如果该文件没有在硬件平台上更新,那之前的一些设置可能就会在...

2018-11-06 15:51:31 6041

原创 PYNQ上手 1.SD卡镜像写入

Writing the SD Card ImageWindowsInsert the Micro SD card into your SD card reader and check which drive letter was assigned. You can find this by opening Computer/My Computer in Windows Explorer....

2018-10-23 17:47:36 2679

原创 统计学习方法 3.k近邻法

k近邻法是一种分类和回归方法,本书只讨论分类。k近邻法假设给定一个数据集,其中的实例类别已定。分类时,对新的实例,根据其k个最近邻的训练示例的类别,通过多数表决等方式进行预测。 k近邻法实际上利用训练数据集对特征空间向量空间进行划分,并作为其分类的模型。一.K近邻算法二.K近邻模型k近邻法有三个要素:k值选择,距离度量,分类决策规则。 k近邻法实际上利用训练数据集对特征空间...

2018-10-23 08:28:29 264

xfopencv_dilate.zip

在VIVADO HLS上使用xfopencv库的工程,详细参考https://blog.csdn.net/qq_23379273/article/details/88881615

2020-04-05

Zynq_CDMA的Vivado工程及SDK代码.zip

基于zynq平台的cdma测试实验,从ddr到bram,从bram到ddr,都有测试。

2019-10-21

xilinx-opencv-user-guide.pdf、xfopencv

xfopencv用户指导手册 !

2019-03-29

xfopecv library

xfopencv的官方库文件,包含底层库,以及使用范例 。

2019-03-29

PYNQ IP脚本

pynq实验时 自动配置IP的脚本 和 自动恢复IP的脚本,建议用管理员模式运行

2018-11-07

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除