VIVADO 10. Block Design调用模块IP

在VIVADO中Block Design设计中调用v或者vhd文件作为模块,如果模块中调用了IP,那么编译时会报下面这个错:

 [filemgmt 56-328] Reference 'input_buffer' contains sub-design file 'e:/Vivado_PRJ_18.2/0604/0604.srcs/sources_1/ip/input_ram/input_ram.xci', which is configured for out-of-context synthesis. OOC sub-designs are not allowed in the reference. To change the setting, use TCL command: 'set_property generate_synth_checkpoint 0 [get_files input_ram.xci]'.

使用    set_property generate_synth_checkpoint 0 [get_files input_ram.xci]    即可解决上面的错误。

但是,如果修改模块中调用的子IP,VIVADO并不会更新Block Design下模块生成的IP。我遇到这个坑,修改完子IP,编译生成比特流,仍然不能解决问题,后来才发现这个坑。目前我的解决办法是,重新定制一个别的名称的子IP,在模块里例化新的,删掉旧的。

  • 5
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 5
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 5
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值