VIVADO 2.zynq如何在SD卡启动

本文详细介绍了如何使用VIVADO创建硬件工程,配置ZYNQ的SD卡启动,并通过SDK生成FSBL启动文件。步骤包括硬件工程创建、编译、导出HDF文件、打开SDK、新建FSBL工程、生成启动文件,以及最终将文件拷贝到SD卡启动设备。在启动过程中注意虚拟机与板子的连接以及启动文件的添加顺序。
摘要由CSDN通过智能技术生成

一、创建一个硬件工程

工程里肯定要有ZYNQ啦。添加IP,布局布线,分配地址。。

zynq IP核需要在MIO Configuration-> I/O Peripherals -> SD 0 和UART 0后打上勾。

二、编译完后,导出HDF文件

File->Export -> Export Hardware,把“include bitstram”勾上。

  • 2
    点赞
  • 25
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Vivado中可以使用$readmemb来读取txt文件。在使用$readmemb时,需要提供txt文本的全部路径。首先,将测试数据以txt文本形式存储,并添加到Vivado工程中。然后,在需要读取txt文件的地方使用$readmemb命令并提供txt文本的完整路径即可实现读取操作。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* [Vivado及ISE仿真中文件读取操作整理](https://download.csdn.net/download/xffjpf/10417882)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 33.333333333333336%"] - *2* [使用MATLAB和Vivado读取txt文件](https://blog.csdn.net/qq_45159887/article/details/120214032)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 33.333333333333336%"] - *3* [VIVADO 7.ZYNQ读取SD卡](https://blog.csdn.net/qq_23379273/article/details/103896638)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 33.333333333333336%"] [ .reference_list ]
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值