VIVADO 11.Divider除法器IP

1、测试环境

vivado 2018.2

2、IP接口

时钟使能 与 复位可定制。

3、参数配置

  • Algorithm Type

    LUTMult

    This is recommended for operand widths less than or equal to 12 bits
    This implementation uses DSP slices, block RAM and a small amount of FPGA logic primitives (registers and LUTs).

    Radix-2

    This is recommended for operand widths less than around 16 bits or for applications requiring high throughput。
    The Radix2 solution does not use DSP or block RAM primitives, so this implementation is recommended when these primitives are needed elsewhere.

    High Radix

    High Radix division with prescaling. This is recommended for operand
    widths greater than a

  • 6
    点赞
  • 77
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值