Vivado2019.1 ZYNQ7020无Uart SDK调试打印调试信息xil_printf

12 篇文章 0 订阅
12 篇文章 0 订阅
在没有UART硬件的情况下,使用Vivado2019.1和SDK进行ZYNQ7020的FPGA设计调试,通过设置processingsystem7打开UART1,生成bitstream并导出硬件。在SDK中创建HelloWorld应用,利用xil_printf函数进行调试信息打印,最终通过JTAG下载到FPGA并在TCF-DebugVirtualTerminal中查看调试信息。
摘要由CSDN通过智能技术生成

Vivado2019.1 ZYNQ7020无Uart SDK调试打印调试信息xil_printf

**

Vivado2019.1 ZYNQ7020无Uart SDK调试打印调试信息xil_printf

**

前提条件:

  1. Vivado 2019.1已安装并配置好。
  2. Zynq-7020的FPGA设计已经完成。
  3. 设计没有Uart硬件

步骤:

  1. processing system 7.0设置打开uart 1(不打开SDK无法选中官方模板),配置好其它的选项,特别是DDR。

在这里插入图片描述
2. “Run Block Automation”,完成block design

在这里插入图片描述

  1. 生成Output products

在这里插入图片描述

  1. 生成Bitstream和导出硬件:

    • 在Vivado中生成Bitstream,并导出硬件(Export Hardware)。
    • 在"Export Hardware"对话框中,勾选"Include bitstream"。
  2. 创建SDK工程:

    • 打开Xilinx SDK。
    • 创建一个Hello world应用程序项目。
    • 将SDK工程连接到Vivado项目导出的硬件平台。
  3. 右键bsp,选中Board Suport Package Settings
    在这里插入图片描述

  4. 在Configuration for OS里面将stdin、stdout都改为ps7_coresight_comp_0
    在这里插入图片描述

  5. 编写C代码:

    • 打开SDK工程中的hello world.c文件。
    • 在代码中包含头文件:#include "xil_printf.h"
    • 使用xil_printf函数打印调试信息。例如:
#include <stdio.h>
#include "platform.h"
#include "xil_printf.h"

int main()
{
    init_platform();

    while(1)
    {
        print("Hello world!\n\r");
        sleep(1);
    }

    cleanup_platform();
    return 0;
}
  1. 编译和烧写:

    • 在SDK中编译应用程序项目。
    • 确保Zynq-7020开发板连接到PC,并通过USB或JTAG连接到开发环境。
    • 将编译好的应用程序通过JTAG下载到FPGA中。
  2. 在TCF-Debug Virtual Terminal - ARM cortex-A9 MPcore#0界面就可以看到调试信息了(下拉Console可以切换不同终端)

在这里插入图片描述

  • 3
    点赞
  • 15
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
vivado 2019.1Xilinx公司推出的一款综合设计环境软件,用于开发可编程逻辑器件(例如FPGA或SoC)的设计和实现。vivado 2019.1经过了许多改进和更新,包括性能提升、新增功能和修复bug等方面。 vivado 2019.1license是用于激活vivado软件的许可证。购买vivado软件后,用户需要安装并运行vivado软件,并且使用许可证来激活软件。许可证是一种授权文件,用于确认用户是否有权使用该软件。 使用vivado 2019.1之前,用户需要先获取vivado 2019.1license。一般情况下,用户可以在购买vivado软件后通过Xilinx公司的官方网站在线注册,并下载许可证文件。也可以通过在线激活系统来获取许可证,具体获取方式可以参考Xilinx公司提供的文档。 获得vivado 2019.1license后,用户需要将许可证文件导入到vivado软件中。在vivado软件安装和运行过程中,会有相应的提示和界面来指导用户导入许可证文件。用户只需按照指示操作,选择正确的许可证文件并导入,即可成功激活vivado 2019.1软件。 vivado 2019.1license通常会有一定的有效期,用户在激活软件后需要注意许可证的有效期限。如果许可证过期,用户需要重新更新许可证才能继续使用vivado软件。 总而言之,vivado 2019.1license是用于激活vivado 2019.1软件的许可证,用户需要获得有效的许可证并将其导入到软件中才能正常使用。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值