FPGA流水灯实验笔记

16 篇文章 1 订阅

  

  • 编程思路:
  • 系统时钟50Mhz   计算闪烁间隔   直接T*F=N
  • 计数小于N时钟触发一次N加1
  • 当计数满时,LED移位,N请零
  • 复位信号为低时系统复位,N清零和LED复位
/*
本实验实现的4个LED 顺序点亮并熄灭   时间0.2s变化一次
*/

module flow_led
(
input sys_clk,             //系统时钟   50MHZ晶振
input sys_rst_n,           //系统复位信号
output reg[3:0] led    //led输出信号
);
//1/50Mhz=20ns    n=0.2s/20ns=10^7     1000_0000需要2进制24位才可进行存储
reg [23:0] time_num;

always  @(posedge sys_clk or negedge sys_rst_n) begin
     if(!sys_rst_n)  //复位信号低电平复位
	  time_num<=24'd0;
	  else if(time_num<24'd1000_0000)   //小于计数值时 +1
	  time_num<=time_num+1'b1;
	  else                            //等于或者大于计数值时对寄存器清零,重新进行计数
	  time_num<=24'd0;
end

//通过移位寄存器控制电平高低转换
always  @(posedge sys_clk or negedge sys_rst_n) begin
    if(!sys_rst_n)
	 led[3:0]<=4'b0010;
	 else if(time_num==24'd1000_0000)
	 led[3:0]<={led[2:0],led[3]};
	 else
	 led<=led;
end
endmodule

 

我的LED坏了三个  流水不明显 只有一个灯是间隔闪烁的,但时间间隔对应。

代码书写时注意中英文符号的书写 !

 

  • 1
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值