自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(7)
  • 收藏
  • 关注

原创 Linux下其他用户su root出现Authentication failure

Linux下其他用户su root出现Authentication failure在能su root的用户下输入#su root#gvim /etc/sudoers在root ALL=(ALL:ALL) ALL下增加root xxx=(ALL:ALL) ALL

2020-02-14 15:00:46 197

原创 Verilog仿真中testbench的激励问题

Verilog仿真中testbench的激励问题       在编写testbench进行功能仿真中,我们一般使用initial语句块与always语句块产生激励信号,从而测试设计。initial模块与always模块实现的激励时序有所不同,见下。设计文件testbench1波形1testbench2波形2...

2019-10-26 00:51:49 4523

原创 vivado FIFO使用问题

有读写时钟同时存在时,empty信号与读写计数信号才能正常工作

2019-03-13 10:09:15 3076 3

原创 vivado 综合警告[Synth 8-4446]的解决方法

在跑vivado,代码正确,但却没输出,出现上述warning。问题可能是子模块输出的引脚又被上层模块无任何处理再次输出。

2019-03-07 13:33:28 8448 3

原创 解决vivado synthesis后原理图模块被综合掉的问题

解决方法:1、在顶层例化模块时,即使某些输入端没作用,也要例化,并赋值;2、查看模块与模块间的引脚是否全部正确定义,wire类型也要定义。

2018-10-25 23:12:18 7731 1

原创 VS运行OpenCV的ERROR:无法打开文件"opencv_world341.opj''

解决方法:添加附件依赖项时输入后缀".lib"

2018-10-17 16:21:31 1761

原创 Python 类创建ERROR: xxx() takes no arguments

解决方法:__init__(self, a, b,...) 下横杠左右输入两次

2018-10-16 16:07:45 1332

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除