Verilog仿真中testbench的激励问题

Verilog仿真中testbench的激励问题

       在编写testbench进行功能仿真中,我们一般使用initial语句块与always语句块产生激励信号,从而测试设计。然而initial模块与always模块实现的激励时序有所不同,见下例子。

设计文件
设计

testbench1
在这里插入图片描述

波形1
在这里插入图片描述

testbench2
在这里插入图片描述

波形2
在这里插入图片描述
       可以看到,不同的激励方式,即使输入激励的波形一样,但输出却截然不同。在这个例子中,testbench1的rd从0变化到1时,clk的上升沿处采到的是rd=1,所以马上输出dout=1,而testbench2的clk的上升沿处采到的是rd=0,所以下个clk的上升沿才输出dout=1。为了使结果相同,可在testbench1中的rd=1适当延迟。
       更多的,testbench1中的rd=1改为rd<=1,波形仍然与波形1相同。

  • 5
    点赞
  • 27
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值