硬件基础:数字电路概述与基础门电路

什么是数字逻辑电路

数字电路是一种利用离散信号进行信息处理的电子电路系统。

它的核心特点是使用数字信号来执行算术运算和逻辑运算。数字电路的工作信号是离散的,通常只取两个值:高电平和低电平,分别代表数值“1”和“0”。

这种电路的基础是二值数字逻辑,并且在其设计中使用逻辑门作为基本的构建模块。数字电路可以进一步分为组合逻辑电路和时序逻辑电路两大类。

数字电路的设计和使用依赖于半导体工艺,通常使用硅材料制成数字集成器件。这些器件包括逻辑门和其他组件,如触发器和存储器。数字系统的控制部件和运算部件协同工作,按照预设的时间脉冲来进行操作。数字电路因其较强的抗干扰能力而广泛应用于工业、农业、通信、医疗等多个领域,包括数控机床、温度控制系统、气体检测设备、家用电器以及网络通信和数字化电视等。

数字电路的优点举例

随着技术的进步,数字集成电路的集成度不断提高,从小规模到大规模再到超大规模和甚大规模,使得数字电路的功能更加强大和灵活。此外,数字电路还可以通过模拟数字转换器和数字模拟转换器与其他类型的电路相连,实现数字与模拟信号之间的相互转换。

数电和模电有何区别?

数制和编码

属于很基础的内容,在微机原理和计算机组成原理等课程中学过。

二进制、八进制、十进制、十六进制

ASCII码,BCD码、GB2312编码、UTF-8编码等

……

略。

逻辑门电路

最基础的门电路就是与门、或门、非门

基础的复合逻辑门电路一般就是与非门、或非门、异或门、同或门

列表如下所示:

其中,非门又叫做反相器。

异或的运算符号一般还可以表示成Y=A⊕B

异或和同或互为反相。

CMOS门电路和TTL门电路

我刚开始接触CMOS门电路和TTL门电路时,还比较疑惑,门电路不是就是与或非这些吗?咋还冒出个CMOS门电路和TTL门电路?

其实这个CMOS门电路、TTL门电路和基础的逻辑门电路并不是一个东西。

那CMOS和TTL是啥呢?

我们知道基础的逻辑门电路有与、或、非、与非、或非、同或、异或这些,但是这只是逻辑层面上的叫法,有没有想过,这些门又是怎么实现的呢?

逻辑门的物理实现,就是通过CMOS或者TTL的。或者说,CMOS或者TTL就是逻辑门内部的结构和原理。

那什么是CMOS和TTL呢?

看到一篇文章讲得很好:

第三章 逻辑门电路 - 知乎

我在这里摘录部分所需内容仅作为记录之用。

这一小节讨论的门电路是数字电路的基本逻辑单元。它们包括 CMOS门电路、双极结型三极管(Biploar Junction Transistor,BJT)构成的TTL门电路,以及其他门电路。

注意:门电路中的MOS管或 BJT管工作在开关状态。

实现基本逻辑运算和常用逻辑运算的单元电路称为门电路。逻辑门电路是组成各种数字电路的基本单元电路。将构成门电路的元器件制作在一块半导体芯片上,再封装起来,便构成了集成门电路。

按照制造门电路晶体管的不同,分为:

CMOS逻辑门电路是目前使用最广泛、占主导地位的集成电路。

早期的CMOS 与TTL 逻辑门相比,CMOS速度慢、功耗低,而 TTL 主要是速度快,但功耗大。后来随着制造工艺的不断改进,CMOS电路的集成度、工作速度、功耗和抗干扰能力远优于 TTL。因此,几乎所有的 CPU、存储器、PLD器件和专用集成电路(ASIC)都采用CMOS 工艺制造,且费用较低。因此,出现种类繁多的CMOS逻辑系列。

下图所示为CMOS发展过程中部分典型逻辑门系列,以及相对前一个系列在速度和功耗等方面的改进。

后面带有“T”的型号,表示可与TTL兼容。TTL是应用最早,技术比较成熟的集成电路,曾被广泛使用。大规模集成电路的发展,要求每个逻辑单元电路的结构简单,并且功耗低。TTL电路不满足这个条件,因此逐渐被 CMOS 电路所取代,退出其主导地位。由于TTL 技术在整个数字集成电路设计领域中的历史地位和影响,目前主要应用于教育或是简单的中小规模数字电路。

开关电路

在二值数字逻辑中,逻辑变量的取值不是0就是1。在数字电路中与其对应的是电子器件的"闭合"和"断开"两种状态。下图所示为开关电路示意图。

当开关S断开时,输出电压=Vcc ,输出逻辑1,如图3.1.3(a)所示。

反之,当开关S接通时,输出电压=0 ,输出逻辑0,如图3.1.3(b)所示。

早期的开关由继电器构成,后来使用 BJT或 MOS管作为开关。BJT或 MOS 管相当于一个受控开关,当其工作在截止状态时,相当于开关断开,输出高电平;当其工作在饱和状态时,相当于开关闭合,输出低电平。

MOS管开关电路

用N沟道增强型 MOS管替代图3.1.3所示的开关S构成的电路如图3.2.9所示。

MOS管的作用对应于有触点开关S的"断开"和"闭合",但在速度和可靠性方面比机械开关优越得多。

CMOS反相器

由 N沟道和P沟道增强型 MOS管组成的电路称为互补 MOS或 CMOS电路

由此,终于知道CMOS是啥意思了,CMOS是Complementary Metal Oxide Semiconductor(互补金属氧化物半导体)的缩写。

CMOS 反相器是构成CMOS 逻辑电路的基本单元电路之一,另一个基本单元电路——传输门将在后续小节介绍。下面讨论CMOS反相器的工作原理。

其实定性来看很简单,就是输入高电平,则下面管子导通,输出就为低电平;输入低电平,则上面管子导通,输出就为高电平。

其实,这就实现了一个非门,也叫反相器。

重要特点如下:

反相器的电压传输特性和电流传输特性

由前面我们知道,反相器输入为0时输出1,输入为1时输出0。

具体是怎么一个过程呢?

这张图就描述了反相器的电压传输特性,从图中可以看到,vi为低时vo为高,vi为高时vo为低,但是输入从低到高有个过程,同样的,输出从高到低也有个过程,并不是啪嗒一下就完成了切换。

正常工作时,只有一个管子是导通的。

电流传输特性是指漏极电流id随输入电压vi变化的曲线,如下图所示。

使用时应避免使两管长时间工作在此区域,以防止功耗过大而损坏。

输入逻辑电平和输出逻辑电平

由上面的电压传输特性曲线可知,当输入电压从0 V开始逐渐增加时,输出高电平维持一段时间没有改变。同样,当输入电压由 VDD 开始降低时,输出低电平也维持一段时间没有改变。因此。在反相器的输出逻辑状态没有发生明显改变时,输入高、低电平值允许有一个波动范围,如下图所示。

输出高、低电平值也允许有一个波动范围,如下图所示。

上一级门电路的输出常常作为下一级门电路的输入,所以制作时也要考虑输出电平能适配输入电平的允许波动范围。

CMOS系列基本逻辑门电路中,除上述介绍的非门(反相器)外,还有与门、或门、与非门、或非门 、异或门等电路。具体参考上面贴出来的那篇文章,很详细。 

CMOS传输门

传输门(Transmission Gate,TG)的应用比较广泛,不仅可以作为基本单元电路构成各种逻辑电路,用于数字信号的传输,而且可以在取样-保持电路、斩波电路、模数和数模转换等电路中传输模拟信号,因而又称为模拟开关。

其实就是控制电路的导通和关断。注意用在模拟电路中时通常只能驱动小电流,如果要驱动大电流还是要用继电器。

传输门的结构及工作原理

CMOS传输门由一个P沟道和一个N沟道增强型MOS管并联而成,但是MOS管结构和普通的MOS管还是有点差别的,如下图所示。

这是它的逻辑符号

传输门上下两管是结构完全对称的,衬底的引线与普通 MOS管不同。所以栅极的引出端画在符号横线的中间。它们的漏极和源极可以互换,因而传输门的输入和输出端可以互换使用,即为双向器件。

C和C非是一对控制信号,当C给高电平,C非给低电平时,传输门导通,当C给低电平,C非给高电平时,传输门截止,由此来实现信号的传输和关断。

两管漏源间的等效电阻随输入电压的变化而变化。一管导通的程度越深,另一管的导通程度则相应地减小。也就是当一管的等效电阻减小,则另一管的等效电阻就增加。由于具有互补作用的两管并联在一起,使传输门导通电阻的变化相对各单管等效电阻的变化小得多,这是传输门的优点。

CMOS 传输门除了作为传输模拟信号的开关外,由于它的传输延迟时间短、结构简单,也作为基本单元电路,用于构成各种逻辑电路,如数据选择器、触发器等。

保护电路和缓冲电路

 实际CMOS逻辑门电路的输入和输出端都有保护电路和缓冲电路。

前面讨论了具有输入、输出缓冲电路的 CMOS 集成电路。如果从输出端看,还有另外两种输出结构的 CMOS 门电路——漏极开路门(Open Drain,OD)和三态输出门(Tristate Logic,TSL)。下面分别加以讨论。 

OD门

设计使用时如果需要将两个CMOS逻辑门的输出端连在一起,则需要选择漏极开路的逻辑门。

漏极开路门的结构及工作原理

通常CMOS门电路都有反相器作输出缓冲电路。而在工程实践中,有时需要将两个门的输出端并联以实现与逻辑的功能称为线与,或者用于驱动大电流负载,或者实现逻辑电平变换。

所谓漏极开路是指CMOS 门电路的输出电路只有 NMOS管,并且它的漏极是开路的。漏极开路的与非门电路及逻辑符号如下图所示。

使用OD门时必须在漏极和电源 VDD 之间,外接一个上拉电阻 RP 。

下图所示为两个OD与-非门实现线与。

将两个门电路输出端接在一起,通过上拉电阻接电源。

由图可见,当两个与非门的输出全为1时,输出为1;只要其中一个为0时,输出为0。

因为任何一个MOS导通时都会输出低电平,此时输出就会被拉到地从而输出低电平。只有全高才能保证输出高电平,这就是线与的逻辑。

三态门

如果希望对 CMOS逻辑门电路的输出加以控制,则选择三态输出逻辑门电路。  

利用 OD 门虽然可以实现 线与 的功能,但外接电阻 RP 的选择要受到一定的限制,因此影响了工作速度。同时它省去了PMOS有源负载,使得带负载能力下降。为保持互补输出级的优点,又可以与总线连接,人们又开发了一种三态输出门电路,它的输出除了具有一般门电路的两种状态,即输出高、低电平外,还具有高输出阻抗的第三状态,称为高阻态,又称为禁止态

下图左所示为高电平使能的三态输出缓冲电路。图右是它的逻辑符号。

其中A是输入端,L为输出端,EN(Enable)是控制信号输入端,也称为使能端。

高阻态其实就是开路。

三态输出门电路主要用于总线传输,如计算机或微处理器系统,其连接形式如下图所示。

任何时刻只有一个门电路的使能端EN为1,该门电路的信号被传到总线上,而其他三态输出电路处于高阻状态。这样就可以按一定顺序将各个门电路的输出信号分时送到总线上。

在实际应用中,除上述介绍的三态输出电路外,还有其他不同形式的电路结构。使能端可以是高电平或低电平有效,输出与输入可以是同相或反相,其目的都是为用户提供一个合适的动态特性。例如,接到总线上的三态输出电路,在任何时刻只有一个使能端为有效信号,这就要求某个与总线进行数据传输的三态门必须关断以后,另一个三态门才允许与总线进行数据传输。即从高阻态到高电平(或低电平)输出的转换时间,略大于从高电平(或低电平)到高阻态的转换时间。这样,控制系统给出的使能信号,使前一个电路进入高阻状态以后,后一个电路的输出信号才送到总线上,以避免两个不同的信号在总线上引起冲突。

CMOS逻辑门电路重要参数 

1

输入和输出的高、低电平

数字电路中的高、低电压常用高、低电平来描述,并规定在正逻辑体制中,用逻辑1和0分别表示高、低电平。当逻辑电路的输入信号在一定范围内变化时,输出电压并不会改变,因此逻辑1或0对应一定的电压范围。

不同系列的集成电路,输入和输出为逻辑1或0所对应的电压范围也不同。

2

噪声容限

噪声容限表示门电路的抗干扰能力。二值数字逻辑电路的优点在于它的输入信号允许一定的容差。

在数字系统中,各逻辑电路之间的连线可能会受到各种噪声的干扰,如信号传输引起的噪声,信号的高低电平转换引起的噪声,或者邻近开关信号所引起的随机脉冲的噪声。这些噪声会叠加在工作信号上,只要其幅度不超过逻辑电平允许的最小值或最大值,则输出逻辑状态不会受影响。通常将这个最大噪声幅度称为噪声容限。电路的噪声容限越大,其抗干扰能力越强。

下图所示为噪声容限定义的示意图。

前一级驱动门电路的输出,就是后一级负载门电路的输入。

输出要保证在输入允许的波动范围之内。

如果噪声容限太小,就会容易导致高低电平发生转变,从而导致数据出错。

3

传输延迟时间

传输延迟时间是表征门电路开关速度的参数,它说明门电路在输入脉冲波形的作用下,其输出波形相对于输入波形延迟了多长时间。

当非门电路的输入端加入一脉冲波形,其相应的输出波形如下图所示。

下图所示为几种 CMOS集成电路的传输延迟时间tpd与电源电压 VDD 的关系曲线。

由图可见,当电源电压增加时,传输延迟时间减少,可提高工作速度

74AHC 系列的速度达到了74HC系列的两倍,而低电压74LVC、74ALVC 和超低电压 74AUC 系列的电源电压更低,传输延迟时间更短,工作速度更快。

总之要知道,一般时延都是几纳秒到几十纳秒。

类NMOS门电路

MOS数字集成电路的发展经历了由 PMOS、NMOS 到 CMOS的过程,其中 PMOS电路问世最早。PMOS管是以空穴为导电载流子,而NMOS管以电子为导电载流子,由于空穴的迁移率比电子低,因此,NMOS电路的工作速度比 PMOS电路快,而且 PMOS 使用负电源,与 TTL电路不匹配,所以PMOS电路被 NMOS电路取代

NMOS电路的工作速度快,几何尺寸小,而且生产工艺水平也不断提高和完善,所以某些特殊应用中采用NMOS电路更好。后来发展的 CMOS电路有静态功耗低、抗干扰能力强等诸多优点而成为主流器件。但是 CMOS 门电路每增加一个输入端就要增加一个NMOS管和一个 PMOS管,而且空穴的迁移率比电子的迁移率低,为获得同样的导通电阻和电流,PMOS管所需的芯片面积更大。

为减少电路中 PMOS 管的数目,在对性能要求不太高,并且希望芯片面积尽可能小的情况下,仍然采用NMOS电路。

NMOS逻辑门电路全部由N沟道MOS管构成。NMOS反相器是NMOS逻辑门电路的基本电路形式,它的工作管为增强型MOS管,而负载管可以是增强型也可以是耗尽型MOS管。

了解即可。

闩锁效应

简单来说

Latch-up是指在CMOS集成电路中,会有寄生的双极晶体管,在一定的条件下寄生的晶体管会被触发而形成低阻通路,从而产生大电流,并且由于正反馈电路的存在而形成闩锁,导致CMOS集成电路无法正常工作,甚至烧毁芯片。闩锁效应是由NMOS的有源区、P衬底、N阱、PMOS的有源区构成的n-p-n-p结构产生的。

Latch up 最易产生在易受外部干扰的I/O电路处, 也偶尔发生在内部电路。

Latch up 是指cmos晶片中, 在电源power VDD和地线GND(VSS)之间由于寄生的PNP和NPN双极性BJT相互影响而产生的一低阻抗通路, 它的存在会使VDD和GND之间产生大电流,无法关断,除非切断电源。

TTL门电路

Transistor-Transistor Logic 晶体管-晶体管逻辑。

TTL门电路,就是由双极结型三极管(Biploar Junction Transistor,BJT)构成的各种逻辑门电路。

很多思想和CMOS门电路差不多,就是实现方式不一样罢了。

比如

TTL反相器

TTL的OC门

TTL三态门

等等。

小节补充 

所谓“推拉式输出级”,是指电路工作时,两只对称的开关管每次只有一个导通,所以导通损耗小、效率高。输出既可以向负载灌电流,也可以从负载抽取电流;采用推拉式输出级既能提高电路的负载能力,又可以提高开关速度。 

什么是低电平有效

就是某个集成电路,需要某个引脚为低电平时,电路才能正常工作,得到想要的结果,一般是使能引脚。当然,有效电平的概念并不止限于使能端信号。 

在实际电路,特别是大规模集成芯片中,任何输入或者输出信号都有可能是高电平有效,或者是低电平有效。所谓低电平有效,是指当信号为低电平时,电路完成规定的操作;而高电平有效、是指信号为高电平时,电路完成规定的操作。

低电平有效和高电平有效都是相对的,简单来想,加个反相器就能转换了。

TTL电平和CMOS电平

1

TTL电平,就是TTL门电路内部需要使用的电平

常见分类

一般来说,对于同等级电压的CMOS电平和TTL电平来说,CMOS电平可以直接驱动TTL电平,但是TTL电平没法直接驱动CMOS电平。

具体也要看芯片的使用手册,无法一概而论。

针对上图,我们先看TTL那一列。

VTH是门限电压,过渡阶段,即将发生高低电平的转换,门电路内部结构发生急剧变化;

VOH是输出时高电平的最低电压,VIH是输入时高电平的最低电压;

VOL是输出时低电平的最高电压,VIL是输入时低电平的最高电压;

从上图可知,TTL的VOH没法满足CMOS的VIH,故无法直接驱动。

2

CMOS电平,就是CMOS门电路使用的电平

电平范围参考上面的一张电平图即可。

注意事项:

不同电平的常见转换方式

为什么要转换电平呢?

不同电平特性的器件之间需要通信,也就是进行数据传输,如果电平不一致,就没法相互识别,导致逻辑0和1错乱。

常见的电平一般有5V、3.3V,再就是1.8V、2.8V等等。

以下列举几种转换电路,仅供参考:

更多自行查阅资料。

数字电路的抗干扰

利用逻辑门电路(CMOS 或 TTL)作具体的电路设计时,还应当注意下列几个实际问题。

多余输入端的处理措施

集成逻辑门电路在使用时,一般不让多余的输入端悬空,以防引入干扰信号。对多余输入端的处理以不改变电路工作状态及稳定可靠为原则,如下图所示。

一是将它与其他输入端并接在一起。

二是根据逻辑要求,与门或者与非门的多余输入端通过1~3kΩ电阻接正电源,对CMOS电路可以直接接电源。或门或者或非门的多余输入端接地。对于高速电路的设计,输入端并接会增加等效的电容性负载,而使信号的传输速度下降,最好采用图3.8.13所示的后两种方法。

特别是CMOS电路的多余输入端绝对不能悬空。由于它的输入电阻很大,容易受到静电或工作区域工频电磁场引入电荷的影响,从而破坏电路的正常工作状态。

MOS管属于压控型器件,输入阻抗很大,只需要一点小的干扰电流,就能让器件发生误操作。

去耦合滤波电容

数字电路或数学字系统往往由多片逻辑电路构成。它们由一公共的直流电源供电。这种电源是非理想的,一般由整流稳压电路供电,具有一定的内阻抗。当数字电路在高、低状态之间交替变换时,会产生较大的脉冲电流或尖峰电流。当它们流经公共的内阻抗时,必将产生相互影响,甚至使逻辑功能发生错乱。

一种常用的处理方法是采用去耦合滤波电容,用10~100 μF的大电容器接在直流电源与地之间,滤除干扰信号。除此以外,对于每一集成芯片的电源与地之间接一个0.1 μF 的电容器以滤除开关噪声。

接地和安装工艺

正确的接地技术对于降低电路噪声是很重要的。方法是将电源地与信号地分开,先将信号地汇集在一点,然后将二者用最短的导线连在一起,以避免含有多种脉冲波形(含尖峰电流)的大电流引到某数字器件的输入端而破坏系统正常的逻辑功能。

此外,当系统中同时有模拟和数字两种器件时,同样需将二者的地分别连在一起,然后再选用一个合适共同点接地,以避免二者之间的影响。必要时,也可设计模拟和数字两块电路板,各备直流电源,然后将二者的地恰当地 连接在一起。

在印制电路板的设计或安装中,要注意连线尽可能短,以减少接线电容产生寄生反馈而引起的寄生振荡。这方面更详细的介绍,可参阅有关文献。某些典型电路应用设计也可参考集成数字电路的数据手册。

后言

CMOS逻辑集成器件从 20 世纪60年代至今,特别是近 20年来,由于制造工艺的不断改进,在提高集成度 、缩短传输延迟时间和减小单元电路功耗等方面取得了很大的进步,生产出种类繁多的标准化、系列化的CMOS通用集成电路产品。每一种新的通用集成逻辑器件系列的生产,都是运用新技术对逻辑电路性能进行改进的结果。正如前面介绍的 4000 系列、HC/HCT 系列、AHC/AHCT系列,以及低电压 LVC系列、AUC系列和 AUP系列等。

根据逻辑功能的特点,可将数字集成芯片分为通用型和专用型两类

中、小规模数字集成芯片都属于通用型集成芯片,它们的通用性很强。由多个不同的通用集成芯片连接起来可以构成各种数字电路或数字系统。通用型集成芯片的逻辑功能是固定的,不能为设计某一特定逻辑电路而改变。芯片内包含的逻辑门数量较少,构成大型逻辑电路时所用芯片种类和数量多、体积大、可靠性差。

如果将所设计的数字系统制作在一块半导体芯片上,就构成了具有专门用途的集成芯片,即专用集成电路(ASIC)。ASIC 芯片减小了电路的体积、重量和功耗,提高了可靠性。但设计和生产ASIC电路的成本高、周期较长,并且用户不能修改。为此,半导体厂家生产出可编程逻辑器件。

可编程逻辑器件是通用逻辑器件,其逻辑功能可以由用户自己设定。用户通过软件编程可以实现所有通用集成单元电路的功能,也可以满足一般的数字系统设计需要。可编程逻辑器件的应用使得传统通用逻辑芯片失去了市场。

作为大规模可编程逻辑器件的补充或接口电路,小尺寸逻辑(Litle Logic)系列应运而生。相比传统通用逻辑芯片,小尺寸逻辑芯片的体积更小。小尺寸逻辑芯片不是用来构成电路或系统,而是用来修改或完善大规模集成芯片之间连线或外围电路的连线。另外,微处理器和计算机的进一步发展,要求性能更优越的总线驱动器件,出现了宽总线(Widebus)系列

实际应用中, 各种高速服务器、通信和网络设备也需要不同规格的小尺寸逻辑或宽总线逻辑器件,以满足它们 的灵活性要求。因此,小尺寸逻辑和宽总线电路成为通用型逻辑器件的主流器件,但比传统的通用集成电路系列的品种少得多。

  • 21
    点赞
  • 18
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
【RT-Thread作品秀】设备运行数据分析预警系统作者:林宏华 概述我们在工厂里,特别是生产重型机械的工厂里工作过的人都知道,当我们需要吊起几百斤到到几十吨的重物时,一般都会用到起重机,常见起重机又分为桥式起重机、式起重机等,都具有自身运行轨道的起重机设备,他们的运行轨道一般根据车间的长度来安装和车间的宽度来设计制造,相当于每台起重设备都是定制的。常见的起重机有双梁和单梁,一般10吨以下多以单梁和小型双梁为主,10吨到20吨以小型双梁为主,20吨以上以双梁为主,同时还配有司机室。 由于轨道很长,所以是由多根轨道拼接而成,连接处会有缝隙,如果缝隙过大会造成起重机运行过程出现剧烈抖动,如果轨道不平,起重机运行时就会有上坡下坡的情况。设备会增加自身负载而影响运行安全。为了实时监测设备运行状态,需要一个小巧跟随设备运行的数据分析仪。可以方便安装在需要监测的部位,当超过设定相关参数的阈值时就会发出报警信息,配合这样测量的数据更加真实可靠。除了用于起重机,还可以用于船舶,游乐设施等。 开发环境本项目采用到硬件方案是:通过ART-Pi STM32H750主板上的I2C引脚和UART以及一个普通IO口就可以实现与STEVAL-MKI197V1(LSM6DSOX)六轴传感器和STEVAL-MKI192V1(LPS22HH)气压传感器的I2C通信,与广和通L610-CN-00 Cat1模块实现串口通信连接物联网平台。 除了以上的外扩硬件外,还使用到了ART-PI板载的资源,如WIFI模块的WIFI和蓝牙功能实现小程序进行蓝牙配网后启动TCP服务器,等待其他客户端连接并进行相关控制。 软件方案是: 开发源码基础:ART-PI SDK1.0,主要使用到出厂案例中的蓝牙配网 STM32CubeMX:主要用于生成相关硬件驱动 开发工具及版本:MDK5.31.0.0 ENV工具:通过menuconfig配置L610组件到工程 物联网可视化软件Node-Red:人机交互页面 阿里云物联网开发平台,其中阿里云和Node-Red是作为数据采集显示运动曲线的上位机,方便对采集的数据进行分析。 手机APP:具有TCP/IP协议,实现连接开发板WIFI。 RT-Thread使用情况概述总的来讲,RT_Thread大部分功能都用到了,因为我是基于出厂代码来添加自己的应用代码的,这里只介绍自己添加了哪些功能代码。 内核部分:使用了任务创建、任务休眠 组件部分:使用了WIFI框架来实现,网络接口驱动、轻量级的TCP/IP协议、AT指令框架、MQTT组件 软件包:使用了广和通L610模组驱动,连接阿里云软件包。 硬件框架 框架如图所示:主要用到ART-PI主板、广和通L610 cat1模组、六轴传感器和气压计。 利用ART-PI板载的WIFI/BT(AP6212A)模组实现TCP服务器,首先通过手机的微信小程序让开发板连接到无线路由器,然后创建TCP服务器。通过局域网手机软件或网络助手软件都可以连接TCP服务器。 六轴传感器和气压计是用于测量设备运行的状态,如姿态、方向、高度、温度等,通过HAL库的I2C实现数据读取。 LSM6DSOX 是小尺寸低噪声低功耗的加速度计陀螺仪二合一芯片 . 具有数字I²C、SPI 和MIPI I3CSM 串口标准输出. 是手持便携式应用的理想选择,如物联网(IoT)连接设备,穿戴,等其他应用。可利用硬件识别出的自由落体事件、6D 方向、单击和双击感应、活动或不活动、唤醒事件,来生成中断信号。可单独设定最多16 个嵌入式有限状态机,用于运动检测或手势识别,例如查看、绝对手腕倾斜、摇晃、连续两次摇晃或拿起。LSM6DSOX 还嵌入了机器学习内核逻辑,可以识别数据模式与用户定义的类别集是否匹配。应用的典型示例有跑步、行走和驾车等活动检测。 LSM6DSOX EVB提供完整的LSM6DSOX信号输出,并在VDD/VDDIO上提供所需的去耦电容,用户基于它可以快速设计自己的系统原型和设备,直接调试评估芯片的功能和性能参数。 LPS22HH 是一款高精度气压传感器,可用作数字输出气压计,具有数字I²C / MIPI I3CSM / SPI 串行接口标准输出。工作压力范围为260 hPa 至1260 hPa,器件能够以最高200 Hz 的输出数据率测量压力值。绝对精度是0.5hPa.LPM下功耗只有4uA.可以用于测量海拔高度,楼层定位,无人机定高,吸尘器吸力大小判断等应用。 LPS22HH EVB提供完整的气压计LPS22HH信号输出,并在VDD/VDDIO上提供所需的去耦电容,用户基于它可以快速设计自己的系统原型和设备,直接调试评估芯片的功能和性能参数。 广和通LTE Cat 1模组L610技术规格: (1)、L610是LTE-Cat1制式;(2)、
multisim仿真多功能数字钟电路设计源文件+课设文档资料,multisim13设计,可以做为你的学习设计参考。 摘 要 数字时钟是一种用数字显示秒、分、时的技术设备,其与传统的机械时钟相比,数字时钟具有走时准确、显示直观、物机械传动装置等优点。特别如今随着技术的飞跃发展,利用集成芯片制作成的数字时钟应用广泛,是人们生活必不可缺的物品。 本课程设计是要通过简单的计数芯片、显示译码的数码管、555时基芯片以及基本电子元器件构成简单能够计时、整点报时以及可以设置闹钟的数字时钟。其中用到了74LS161N型号的四位二进制芯片、74LS160D型号的十进制计数器芯片,通过74LS00D与非以及其它的一些逻辑共同构成24进制和60进制计数器,再通过数码管将其显示。 关键词:数字时钟、计数器、555芯片   1. 设计要求和目的 数字钟是采用数字电路实现“时”、“分”、“秒”数字显示的计时装置,钟表的数字化同时也提高报时精度的,也大大扩展了它的应用功能,诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、定时启闭路灯等。因此,研究数字钟及扩大其应用,有着非常现实的意义。 1.1 设计目的 1)掌握数字钟的设计、组装与调试方法。 2)熟悉集成电路的使用方法。 1.2设计任务与要求 1)时钟显示功能,能够以十进制显示“时”、“分”、“秒”。 2)具有校准时、分的功能。 3)整点自动报时,在整点时,便自动发出鸣叫声,时长1s。 选做: 1)闹钟功能,可按设定的时间闹时。 2)日历显示功能。将时间的显示增加“年”、“月”、“日”。 2. 系统的总体设计 2.1 系统概述 数字时钟电路是一个典型的数字电路系统,其由时、分、秒计数器以及校时和显示电路组成,其主要功能为计时。数字时钟利用六十进制和二十四进制递增计数器子电路构成数字时钟系统,其由两个六十进制同步递增计数器完成秒、分计数器,由一个二十四进制同步计数器完成小时的计数。 数字时钟的扩展功能则是在数字时钟的主体添加的外电路部分,其由可多个与非的逻辑电路构成整点报时、闹钟,也可由计数器或比较器与逻辑组成一个报时电路或一个闹钟,以及日期的显示电路。 2.2硬件设计 2.21系统设计框图
125kHZ低频读卡器功能概述: 本资源中包含读卡器原理图及PCB文件、读卡器源程序和电脑端上位机源程序。读卡器可以直接制作使用,可以使用附件中的上位机与读卡器进行通信,控制读卡器对T5557类卡片进行读写操作。对T5557卡片的配置必须为(RF/32,曼侧斯特编码),这也是国内T5557卡的常用配置。要对其他配置的T5557卡片或其他低频卡片(EM4305类和EMID卡片)进行读写,硬件上是支持的,只需根据卡片文档修改读卡器源程序,下载更新便可。为方便程序更新,硬件电路上已经实现自动下载,使用串口下载程序时无需手动设置BOOT0和BOOT1。 应用场景: 低频RFID主要用在短距离、低成本的应用中,如禁控制、校园卡、煤气表、水表等。 在相关领域的开发中,可以使用该读卡器作为前台管理的设备,对客户卡进行管理。也可以将读卡器改成终端设备,如RFID锁上的读卡器,用来验证卡片信息并控制锁;或煤气表、水表上的读卡器,根据卡片内的余量信息控制煤气和水的供应。另外,也可以作为学习曼彻斯特解码的学习工具。 设计思路: 使用STM32F103R8T6输出125kHZ的PWM信号作为载波信号,将信号输入到功率放大电路,在线圈上产生125kHZ的正弦信号。T5557卡片从125kHZ信号中获取能量和控制命令,并根据命令将要传回的信息使用曼彻斯特编码对载波信号进行调制。读卡器端的检波电路和滤波放大电路将被调制信号提取处理成单片机能识别的数字信号,输入到STM32F103R8T6的输入捕获功能引脚,再通过STM32F103R8T6将捕获的信号进行曼彻斯特解码,最后将解码信息根据自己设定的通讯协议进行数据打包,发送到电脑上位机。 系统框图: 硬件平台: 1.主控制器:STM32F103R8T6 2.USB转TTL:CH340G 3.模拟电路:LM358 AMS1117-3.3V 125kHZ谐振线圈(345UH线圈搭配4700PF电容)谐振频率在线计算工具 4.蜂鸣器: 3V供电 软件平台: 开发工具:Keil uVision5 上位机编写工具:Microsoft Visual C# 学习版 成本估算: 芯片询价和在线购买链接https://www.bom2buy.com/元器件估算价格约为30元 项目进度: 1.能对AT5557/55567/5577卡片(配置为RF/32,曼彻斯特编码)进行整页读,密码读和密码写操作; 2.有相应的上位机,方便读写指令的发送和数据解读; 3.上位机与读卡器之间通讯加入了数据校验,提高了通讯的可靠性。 未来更新: 1.加入EM4305类和EMID卡片的读写功能; 2.加上触摸显示屏和电池,做成便携版 3.做成袖珍版,加入与手机通讯的接口(OTG或蓝牙)

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值