如何建立DO文件?

如何建立DO文件?

方法:1、Modelsim→File→New→Source→Do命令

      2、新建一个记事本,保存为.do格式

仿真步骤:1、创建一个工程和工程库

         2、加载设计文件(包括编写好的testbench)

         3、编译源文件

         4、运行仿真,观察结果

do文件就是把步骤1-4用tcl脚本语言来编写出来,让Modelsim来运行该do文件宏命令,并自动执行仿真的步骤。

PS:do文件的注释是由#开始的,但不可以在代码行后面添加,只能另起一行。

正确的是:

vlib work 

#新建一个work库

错误的是:

vlib work  #新建一个work库

 

例:counter.do

vlib work(对应仿真步骤①:新建work库。该命令的作用是在当前目录下建立一个work目录,请注意不要直接在windows中新建一个work的文件夹,因为用操作系统建立的work文件夹并没有ModelSim SE自动生成的_info文件。)

vmap work work(对应仿真步骤①:该命令的作用是将目前的逻辑工作库work和实际工作库work映射对应。也可以直接用指令“vmap work”表示将work库映射到当前工作目录下。)

vlog counter.v counter_tb.v(对应仿真步骤②③:编译counter.v和counter_tb.v文件,默认编译到work库下。该命令的作用是编译这些文件,要注意的是文件可以单独分开编译,但是一定要先编译被调用的文件。假如是VHDL文件,只需要把指令vlog换成vcom即可。)

vsim work.counter_tb –t 1ns(对应仿真步骤④:仿真work库中名为counter_tb的模块,最小时间单位为1ns。)

add wave/counter_tb/ *(该命令的作用是将testbench文件camera_tb.v中模块camera_tb下所有的信号变量加到波形文件中去,注意在“*”前要加空格。这时候你也可以看到wave文件被打开。当然也可以单个信号的添加,例如添加时钟:add wave clk 等等。)

run 2000(该命令的作用是运行2000个单位时间的仿真。也可以用run –all命令来一直仿真下去。)

  • 2
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值