FPGA第四篇:异步串口的实现

本文详细介绍了串口通信的原理,包括波特率、数据位、停止位和奇偶校验位等关键参数,并探讨了串口在FPGA中的Verilog实现,特别关注了串口接收模块的电平检测、波特率定时和控制模块的实现细节。
摘要由CSDN通过智能技术生成

参考文章:


在此进行进行感激而涕零(嘻嘻)!谢谢你们提供了如此好的资源。

一、串口通信的原理


1、应用:

串口通信是目前比较重要的一种通信方式,主要是用于计算机和外部的通信。串口用于ASCII码字符的传输。

2、物理层面:

通信使用3根线完成:

(1)地线(GND);

(2)发送(TXD);

(3)接收(RXD),

由于串口通信是异步的,端口能够在一根线上发送数据同时在另一根线上接收数据。其它线用于握手,但是不是必须的。

3、四个重要参数

串口通信最重要的参数是波特率、数据位、停止位和奇偶校验位。对于两个进行通信的端口,这些参数必须匹配: 

a,波特率:

这是一个衡量通信速度的参数。它表示每秒钟传送的bit的个数。例如300波特表示每秒钟发送300个bit。当我们提到时钟周期时,我们就是指波特率。例如如果协议需

要4800波特率,那么时钟是4800Hz。这意味

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值