linux gvim verilog/system verilog语法高亮及常用设置

  1. 将 “.vimrc” 文件复制到用户的宿主目录,"cd ~"即可到达宿主目录
  2. 在宿主目录下建立vim文件夹:“mkdir vim”
  3. 在vim目录中建立ftdetect以及syntax文件夹
    “mkdir ~/.vim/ftdetect”
    “mkdir ~/.vim/syntax”
  4. 在ftdetect中创建文件sv.vim并包含如下语句:
    au BufRead,BufNewFile *.sv set filetype=verilog_systemverilog
  5. 将systemverilog的高亮检测文件(verilog_systemverilog.vim)放置到syntax目录下
  6. “source ~/.vimrc”

下面是.vimrc以及verilog_systemverilog.vim文件的下载链接:
下载下载下载

  • 2
    点赞
  • 15
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
Linux系统下配置GVim来编辑Verilog代码可以通过以下步骤完成: 1. 首先要确保系统中已经安装了GVim。如果没有安装,可以使用包管理器安装,比如在Ubuntu系统上可以使用以下命令:sudo apt-get install vim-gtk。 2. 打开终端,输入gvim命令来启动GVim编辑器。 3. 进入GVim编辑器后,按下"Esc"键进入命令模式,然后输入":set syntax=verilog"来设置语法高亮显示。 4. 在GVim命令模式下,输入":set tabstop=4"设置缩进为4个空格。输入":set expandtab"设置Tab键为空格。输入":set autoindent"设置自动缩进。 5. 为了方便编写Verilog代码,可以安装在GVim中添加插件。比如可以使用vim-plug插件管理工具。首先,在终端中输入以下命令来安装vim-plug: curl -fLo ~/.vim/autoload/plug.vim --create-dirs \ https://raw.githubusercontent.com/junegunn/vim-plug/master/plug.vim 6. 创建一个.vimrc配置文件来管理vim插件。在终端中输入以下命令来创建并编辑.vimrc文件: vi ~/.vimrc 7. 在.vimrc文件中,使用以下命令来添加插件,以verilog_systemverilog.vim为例: call plug#begin('~/.vim/plugged') Plug 'vhda/verilog_systemverilog.vim' call plug#end() 8. 保存.vimrc文件并退出。 9. 回到GVim编辑器,按下"Esc"键进入命令模式,然后输入":PlugInstall"来安装配置文件中添加的插件。 10. 重启GVim,现在你就可以在编辑Verilog代码时享受语法高亮显示和其他插件提供的便捷功能。 这样,你就可以在Linux系统下配置GVim来编辑Verilog代码了。这个配置将提高您的开发效率和舒适度。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值