数字验证学习笔记——SystemVerilog芯片验证13 ——虚方法

一、虚方法

除了之前介绍的类的封装和继承,关于类的多态性也是必须关注的。
正是由于类的多态性,使得用户在设计和实现类时,不需要担心句柄指向得对象是父类还是子类,只要通过虚方法,就可以实现动态绑定(dynamic binding),或者在SV中称之为动态方法查找。
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
我们将已经编译阶段就可以确定下来调用方法所处作用域得方式称之为静态绑定(static binding),而与之相对得是动态绑定。
动态绑定指的是,在调用方法时,会在运行时来确定句柄指向对象的类型,再动态指向应该调用的方法。
为了实现动态绑定,我们将basic_test::test定义为虚方法。
在这里插入图片描述
在这里插入图片描述
假如有A,B,C三个对象,B继承A,C继承B,如果只在B中的函数加了virtual,那么此时如果调用A.test(), 它不会去调用C的test函数的。
虚方法只能在task和function加virtual。

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值