System Verilog的抽象类(abstract class)和纯虚方法(pure virtual )

System Verilog的抽象类和纯虚方法


在验证工作中,我们期望搭建的验证环境能高度重用,并且易于扩展。抽象类和纯虚方法就使我们可以搭建一个通用的验证环境模板,并基于该模板扩展为期望的验证环境。

1、抽象类

抽象类用作基类,我们会在此基础上扩展许多功能类,抽象类通过virtual关键字定义,如下:

virtual class BasePackt;
	...
endclass

2、纯虚方法

在抽象类中,可以定义没有实体的方法原型,即纯虚方法,使用pure virtual修饰,如下:

virtual class BasePackt;
	pure virtual function int send(bit[31:0] data);
endclass

3、抽象类和纯虚方法

抽象类与纯虚方法如下:

virtual class BasePackt;
	static int count;
	int id;
	
	function new();
		id=count++;
	endfunction
	
	pure virtual function int send(bit[31:0] data);
	pure virtual function void display(int k);
endclass

可以声明BasePackt的句柄,但是不能实例化该类型对象,需要基于BasePackt扩展一个非抽象对象,即所有的纯虚方法都有具体实现。基于抽象类BasePackt扩展为非抽象类:

class Trans extend
  • 4
    点赞
  • 19
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值