数字验证学习笔记——SystemVerilog芯片验证14 ——接口&参数化的类

一、接口

  • 接口可以用作设计,也可以用作验证
  • 在验证环境中,接口可以使得连接变得简洁而不易出错
  • interface和module得使用性质很像。它可以定义端口,也可以定义双相信号;它可是使用initial和always,也可以定义function和task。
  • interface可以在硬件环境和软件环境中传递,例如作为module得端口列表,也可以作为软件方法得形式参数。(interface也可以作为参数)
  • 初学者可以将interface看做一个“插排”,而DUT和TB之间得数据驱动关系都可以使用interface这个插排来完成。
    在这里插入图片描述
    在这里插入图片描述
    在这里插入图片描述
    在这里插入图片描述
    在顶层除了需要例化arb和test外,还要例化接口,同时把时钟信号传入。

接口的优势

  • 将有关信号封装在同一个接口中,对于设计和验证环境都便于维护和使用。如果你需要新添加信号,只需要在接口中定义这个信号,而在使用这个接口的模块或者验证环境中做出相应修改。
  • 由于接口既可以在硬件世界中使用,又可以在软件世界中使用,interface作为SV中唯一的硬件和软件的媒介交互,它的地位不可取代,所以verifier一定要精通接口的使用,对应得实验部分在后期会主要使用接口来做数据驱动。
  • 接口由于可以例化的特性,使得对于多组相同得总线,在例化和使用变得更加灵活,不仅使得代码变得简洁,也更易于验证环境得管理和维护。
    在这里插入图片描述

二、参数化的类

  • 参数化的使用是为了提高代码的复用率
  • 无论是设计还是验证,如果代码会被更多的人使用或者更多的项目所采用,那么就需要考虑使用参数来提高复用率
  • 参数使用越合理,后期维护的成本就会相应降低
  • 硬件设计中,参数往往是整形,例如端口数目或者位宽。
  • 验证环境中,参数的使用更加灵活,可以使用各种类型来做类定义时的参数。
  • 在SV中,可以为类增加若干个数据类型参数,并在声明类句柄的时候指定类型。
    在这里插入图片描述
    ref 是传递这个数的指针,当这个数在任务中改变了数值,那么这个值在外部的值也改变了。
    在这里插入图片描述
    在这里插入图片描述
  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值