自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(21)
  • 收藏
  • 关注

原创 并口parall_interf

模块代码module parall_interf( input wire sclk, input wire rst_n, input wire cs_n, input wire rd_n, input wire wr_n, inout tri [15:0] data, input wire [7:0] addr);reg [15:0] data_0,data_1,data_2,data_3, data_4,data_5,da

2020-06-09 21:53:38 237

原创 iic接口 verilog

模块代码module iic_w( input wire sclk, input wire rst_n, input wire w_en, input wire [7:0] data, input wire [15:0] addr, output wire scl, output tri sda);parameter DIV_CNT = 8'd240 - 1;parameter DIV_CNT_1 = 8'd60 -

2020-06-09 21:50:42 621

原创 fsm练习

模块代码module fsm( input wire sclk, input wire rst_n, input wire A, output reg k1, output reg k2 );parameter IDLE = 4'b0001;parameter START = 4'b0010;parameter STOP = 4'b0100;parameter CLEAR = 4'b1000;reg [3:0] st

2020-06-09 21:46:40 252

原创 11101序列检测状态机(含有仿真do文件)

模块代码module mealy( input wire clk, input wire rst_n, input wire a, output reg k);parameter S1 = 6'b000_001;parameter S2 = 6'b000_010;parameter S3 = 6'b000_100;parameter S4 = 6'b001_000;parameter S5 = 6'b010_000;parameter S6 = 6'

2020-06-09 21:41:27 803

原创 关于FPGA时序约束的学习笔记-时序模型的分析

最近一直在学习FPGA时序约束的内容,看了很多的教程。所以想用这篇去整理一下思路。好让自己能够坚持下去。学习时序分析都离不开一个节点对节点的模型,如下图;这个模型是FPGA时序分析的基础模型,描述数据在两个寄存器间传输的一般模式,想要真正的理解这个模型还需要知道以下几个概念:启动沿:数据被launch的时钟边沿,在每一个时钟边沿后产生一个新的数据。锁存沿:数据被latch的时钟边沿,也就...

2019-12-24 14:39:40 337

原创 基于HAL库的FMC驱动SRAM(IS62WV51216)

原理图根据原理图配置cubeMX 的 FMC

2019-12-10 21:01:58 2508 1

原创 FPGA学习笔记-------状态机结构02

用四段状态机写出spi时序//四段状态机//第一段//一个always模块采用同步时序的方式描述状态转移always @(posedge clk or negedge rst_n) begin if(!rst_n == 1'b0) begin state_c <= HEAD; end else begin state_c <= ...

2019-10-24 22:18:50 192

原创 FPGA学习笔记-------状态机结构01

时序检测模块理解4段状态机的思路//四段状态机//第一段//一个always模块采用同步时序的方式描述状态转移always @(posedge clk or negedge rst_n) begin if(!rst_n == 1'b0) begin state_c <= HEAD; end else begin state_c &l...

2019-10-20 14:58:32 129

原创 FPGA学习笔记-------计数器结构05

//sccb接口//计数器//明德扬定义计数器//计数器规则1:计数器逐一考虑3要素:初值、加一条件、结束值//计数器规则2:计数器初值必须为零//计数器规则3:使用某一计数器值,必须同时满足加一条件//计数器规则4:结束条件必须同时满足加一条件//计数器规则5:当取某个数时,assign的形式必须为:(加一条件)&&(cnt==计数值-1);//计数器规则6:结束...

2019-10-18 14:34:44 292

原创 FPGA学习笔记-------计数器结构04

//vga结构计数器结构实现屏幕显示分辨率为640480,刷新频率是60hz要求在屏幕中间显示一个200200的绿色方框,其他地方显示黑色;/计数器结构//-----------------------------------------------------------------------------------------------------------------------...

2019-10-17 15:47:44 185

原创 FPGA学习笔记-------计数器结构03

UART计数器结构描述uart//发送always @(posedge clk or negedge rst_n) begin if(rst_n == 1'b0) begin cnt1 <= 0; end else if(add_cnt1) //加一条件 begin if(end_cnt1) //结束条件 cnt_...

2019-10-17 10:06:39 276

原创 FPGA学习笔记-------计数器结构02

//PWM波 – 产生8个脉冲,每个脉冲周期为10ms对应的占空比分别为80%、70%、60%、50%、40%、30%、20%、10%parameter TIME_1MS = 100_000;//1ms 定时器always @(posedge clk or negedge rst_n) begin if(rst_n == 1'b0) begin cnt_1ms <=...

2019-10-16 10:11:39 868

原创 FPGA学习笔记-------计数器结构01

计数器结构计数器结构是通过一个或多个计数器,搭建成整个设计的框架,从而作为其他信号对齐的条件。计数器优秀的标准是:能用计数器指示任何一个时钟;能方便的被其他信号归纳使用。以下为学习代码 ,尽量把时序逻辑跟组合逻辑分开//计数器结构//-------------------------------------------------------------------------------...

2019-10-15 19:47:48 568

原创 FPGA学习笔记 --- 组合逻辑 时序逻辑

组合逻辑组合逻辑的特点是任意时刻的输出仅仅取决于该时刻的输入,与电路原本的状态无关,逻辑中不牵涉跳变沿信号的处理,组合逻辑的verilog描述方式有两种:(1):always @(电平敏感信号列表)always模块的敏感列表为所有判断条件信号和输入信号,但一定要注意敏感列表的完整性。在always 模块中可以使用if、case 和for 等各种RTL 关键字结构。由于赋值语句有阻塞赋值和非阻...

2019-08-08 15:30:06 1311

转载 常用电平转换电路

mos管双向电平转换电路在电平转换器的操作中要考虑下面的三种状态:没有器件下拉总线线路。“低电压”部分的总线线路通过上拉电阻Rp 上拉至3.3V。 MOS-FET 管的门极和源极都是3.3V, 所以它的VGS 低于阀值电压,MOS-FET 管不导通。这就允许“高电压”部分的总线线路通过它的上拉电阻Rp 拉到5V。此时两部分的总线线路都是高电平,只是电压电平不同。一个3.3V 器件下拉总线...

2019-07-12 14:35:52 951

转载 STM32基于库函数工程模板

STM32基于库函数工程模板#下载stm32官方(标准库/HAL库)下载链接http://www.openedv.com/thread-295843-1-1.html##文件夹介绍:Libraries文件夹下面有CMSIS和STM32F4XX_StdPeriph_Driver两个目录,这两个目录包含固件库核心的所有子文件夹和文件。1.CMSIS文件夹存放的是符合CMSIS规范的一些文件...

2019-07-08 18:04:16 3016

原创 STM32基于keil5 环境的搭建

STM32基于keil5 环境的搭建1.安装破解MDK5.14,具体方法参考https://blog.csdn.net/k1ang/article/details/794398912.基于不同的芯片开发,需要安装不同的支持包。这些包可以从MDK的官网下载。(https://www.keil.com/dd2/pack) 只有安装相应的包,才可以对相应的芯片进行开发。STM32下载方式串口...

2019-07-07 16:43:01 2407

原创 switch节能机制

1.LPD (LINK DOWN POWER SAVEING MODE)2.EEE 以太网节能(通过全缓冲 loading、降低接口电压)3.GREEN 绿色以太网 (根据长度调整tx driving(电流))

2019-07-06 20:22:17 207

转载 以太网自协商

自协商基本原理自动协商模式是端口根据另一端设备的连接速度和双工模式,自动把它的速度调节到最高的公共水平,即线路两端能具有的最快速度和双工模式。自协商功能允许一个网络设备能够将自己所支持的工作模式信息传达给网络上的对端,并接受对方可能传递过来的相应信息,从而解决双工和10M/100M速率自协商问题。自协商功能完全由物理层芯片设计实现,因此并不使用专用数据包或带来任何高层协议开销。自...

2019-06-04 18:01:47 2766

原创 switch流控(flow control)

半双工:在switch中又叫做背压(Back Pressure)添加链接描述。在交换机进行数据转发时,同一时间内,只能发送或者接受数据。利用冲突检测机制在端口接受数据时,抑制本端口发送。全双工:switch可以在转发时,同时接收和发送数据。1.pause帧2.非对称流控3.pause时间交换机在进行内部数据转发的时,内部需要一个package buff进行数据缓存,在多个端口同时向...

2019-06-03 23:07:58 1959

原创 switch的mac地址的学习和老化

switch的look up table原理解析交换机的基本原理是实现两层交换数据的转发 。交换机工作于OSI参考模型的第二层,即数据链路层。交换机内部的CPU会在每个端口成功连接时,通过将MAC地址和端口对应,生成一张LUT(look up table)表。在今后的通讯中,发往该MAC地址的数据包将仅送往其对应的端口,而不是所有的端口。一.MAC地址学习交换机拥有一条很高带宽的背部总线和内...

2019-06-03 21:01:17 6378

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除