图像处理之二值化

图像处理之二值化

二值化方式

二值化方式分为五种:
THRESH_BINARY:将大于某一个阈值的变成最大值,其他为0
THRESH_BINARY_INV:和THRESH_BINARY恰好相反
THRESH_TRUNC: trunc就是截断的意思, 将大于某一阈值的像素直接设置为阈值大小,其他不变
THRESH_TOZERO:和trunc相反,在暗色部分截断,小于某一阈值就设置为0,其他不变
THRESH_TOZERO_INV:和tozero相反,大于阈值设置为0,其他不变

方式公式处理后原始图
THRESH_BINARY$1600在这里插入图片描述dgsa
THRESH_BINARY_INV在这里插入图片描述在这里插入图片描述在这里插入图片描述
THRESH_TRUNC在这里插入图片描述在这里插入图片描述在这里插入图片描述
THRESH_TOZERO在这里插入图片描述在这里插入图片描述在这里插入图片描述
THRESH_TOZERO_INV在这里插入图片描述在这里插入图片描述在这里插入图片描述

二值化算法

二值化算法分为普通二值化,自适应二值化,OTSU二值化(注意:二值化输入只能是灰度图

普通二值化

#low: 阈值
#high: 最大值
ret, binay = cv2.threshold(img,  low, higher, cv2.THRESH_BINARY)

自适应二值化
自适应二值化的阈值不是自己设定的而是算出来的,算的方法有平均值法,和高斯平均法
平均值法:取一个窗口的平均值作为阈值
高斯平均法:按高斯分布对窗口内的像素取加权和

#ADAPTIVE_MEAN_C: 自适应方法
#255 : 最大值
#11: 窗口大小
#2 :常数
th2 = cv2.adaptiveThreshold(img, 255, cv2.ADAPTIVE_MEAN_C, cv2.THRESHOLD_BINARY, 11, 2)

OTSU二值化
OTSU又称最大类间方差法,顾名思义就是找到一个阈值使得大于该阈值和小于该阈值的两部分像素的方差和最大,目的是为了去掉尽可能多的信息,只保留最基本的信息。
在这里插入图片描述
对于图像I(x,y),前景(即目标)和背景的分割阈值记作T,属于前景的像素点数占整幅图像的比例记为ω0,其平均灰度μ0;背景像素点数占整幅图像的比例为ω1,其平均灰度为μ1。图像的总平均灰度记为μ,类间方差记为g。 假设图像的背景较暗,并且图像的大小为M×N, 图像中像素的灰度值小于阈值T的像素个数记作N0,像素灰度大于阈值T的像素个数记作N1,则有:
      ω0=N0/ M×N (1)
      ω1=N1/ M×N (2)
      N0+N1=M×N (3)
      ω0+ω1=1 (4)
      μ=ω0μ0+ω1μ1 (5)
      g=ω0(μ0-μ)2+ω1(μ1-μ)2 (6)
将式(5)代入式(6),得到等价公式: g=ω0ω1(μ0-μ1)^2 (7)
采用遍历的方法得到使类间方差最大的阈值T,即为所求。

ret, binay = cv2.threshold(img,  low, higher, cv2.THRESH_BINARY|cv2.THRESH_OTSU)
  • 2
    点赞
  • 13
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
FPGA图像处理二值化是指使用FPGA芯片对图像进行处理,将图像转化为二值图像。二值图像是指每个像素只有两种可能的取值或灰度等级状态,通常用黑白、B&W、单色图像表示。在二值化过程中,需要将图像的灰度值与预设的阈值进行比较,比较结果以0和1的形式存入monoc中。如果灰度值大于阈值,像素点赋值为1,否则赋值为0。 以下是一个FPGA的二值化处理的源码示例: ```verilog module binarization( input clk, // 时钟信号 input rst_n, // 复位信号 input ycbcr_vsync, // 输入YCbCr图像的垂直同步信号 input ycbcr_hsync, // 输入YCbCr图像的水平同步信号 input [7:0 luminance, // 输入图像的亮度值 output post_vsync, // 输出二值化后的垂直同步信号 output post_hsync, // 输出二值化后的水平同步信号 output post_de, // 输出二值化后的数据使能信号 output reg monoc // 输出二值化后的像素值 ); // reg定义 reg ycbcr_vsync_d; reg ycbcr_hsync_d; reg ycbcr_de_d; // 同步时钟信号 assign post_vsync = ycbcr_vsync_d; assign post_hsync = ycbcr_hsync_d; assign post_de = ycbcr_de_d; // 二值化处理 always @(posedge clk or negedge rst_n) begin if(!rst_n) monoc <= 1'b0; else if(luminance > 8'd64) // 灰度阈值 monoc <= 1'b1; // 低于阈值时赋1 else monoc <= 1'b0; // 高于阈值时赋0 end // 延时1拍以同步时钟信号 always @(posedge clk or negedge rst_n) begin if(!rst_n) begin ycbcr_vsync_d <= 1'd0; ycbcr_hsync_d <= 1'd0; ycbcr_de_d <= 1'd0; end else begin ycbcr_vsync_d <= ycbcr_vsync; ycbcr_hsync_d <= ycbcr_hsync; ycbcr_de_d <= ycbcr_de; end end endmodule ``` 以上是一个简单的二值化处理的FPGA图像处理代码,它通过比较输入图像的亮度值与预设的阈值来决定输出像素值是1还是0,并保持同步时钟信号不变,实现二值化处理。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* [FPGA丨图像二值化](https://blog.csdn.net/qq_39507748/article/details/115293728)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] - *2* *3* [FPGA|数字图像处理实现口罩识别——二值化](https://blog.csdn.net/mintshoot_/article/details/127387021)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值