Verilog语言led灯的简单历程

//
//1.点亮一颗灯
/light one/
//module liushuideng(
//input clk,
//input rst,
//output led
//    );
//reg led;

//always @(posedge clk or negedge rst)
//if(!rst) led <= 1'b1;
//else led <= 1'b0;

//endmodule

//2.点亮八颗灯/
//module liushuideng(
//input clk,
//input rst,
//output reg[7:0] led
//);

//always@(posedge clk or negedge rst)
//if(!rst) led <= 8'b1111_1111;
//else led <= 8'b0000_0000;

//endmodule 

//3.延迟点亮八颗灯//
//module liushuideng(
//input clk,
//input rst,
//output reg[7:0] led
//);

//reg[27:0] cnt; 

//always@(posedge clk or negedge rst)
//if(!rst) cnt <= 28'd0;
//else cnt <= cnt+1'b1;

//always@(posedge clk or negedge rst)
//if(!rst) led <= 8'b1111_1111;
//else if(cnt == 28'hfffffff) 
//led <= 8'b0000_0000;

//endmodule

//4.八个灯闪闪
//module liushuideng(
//input clk,
//input rst,
//output reg[7:0] led
//);
//reg[27:0] cnt;

//always@(posedge clk or negedge rst)
//if(!rst) cnt <= 28'd0;
//else if(cnt == 28'hfffffff) cnt <= 28'd0;
//else cnt <= cnt +1'b1;

//always@(posedge clk or negedge rst)
//if(!rst) led <= 8'b1111_1111;
//else if(cnt == 28'hffffffe) 
//led <= ~led;

//endmodule

//5.循环点亮led灯
//module liushuideng(
//input clk,
//input rst,
//output reg[7:0] led
//);

//reg [27:0] cnt;

//always@(posedge clk or negedge rst)
//if(!rst) cnt <= 28'd0;
//else if(cnt == 28'hfffffff) cnt <= 28'd0;
//else cnt <= cnt+1'b1;

//always@(posedge clk or negedge rst)
//if(!rst) led <= 8'b1111_1110;
//else if(cnt == 28'hffffff) led <={led[6:0],led[7]};

//endmodule 

这些都是很简单的例子,只是想说,一点一点慢慢来,从点亮一颗到8颗,再到延迟亮,循环亮,由易到难实现,从中一点一点进步

  • 3
    点赞
  • 39
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值