灯的游戏,加chipscope抓取验证

//6.验证一条时序,灯未出现应有的亮灭,眼睛看一直亮,但是chipscop抓到的输出有高低变化
//module liushuideng(
//input clk,
//input rst,
//output led
//);
//
//reg led;
//reg[11:0] cnt;
//
//always@(posedge clk or negedge rst)
//if(!rst) cnt <= 12'd0;
//else if(cnt == 12'hffff) cnt <= 12'd0;
//else cnt <= cnt+1'b1;
//
//always@(posedge clk or negedge rst)
//if(!rst) led <= 1'b1;
//else if(cnt == 12'd1000) led <= 1'b0;
//else if(cnt == 12'd2000) led <= 1'b1;
//else if(cnt == 12'd3000) led <= 1'b0;
//
//wire[35:0] CONTROL;
//wire[15:0] TRIG0;
//icon liushuideng (
//   .CONTROL0(CONTROL) // INOUT BUS [35:0]
//);
//dengila led_ila (
//.CONTROL(CONTROL), // INOUT BUS [35:0]
//.CLK(clk), // IN
//.TRIG0(TRIG0) // IN BUS [15:0]
//);
//assign TRIG0[2] = led;
//assign TRIG0[0] = clk;
//assign TRIG0[1] = rst;
//endmodule 


//7.给流水灯一个自己安排的时序
//module liushuideng(
// input clk,
// input rst,
// output reg[1:0] led
// );
//
//reg[11:0] cnt;
//always@(posedge clk or negedge rst)
//if(!rst) cnt <= 12'd0;
//else if(cnt == 12'hffff) cnt <= 12'd0;
//else cnt <= cnt+1'b1;
//
//always@(posedge clk or negedge rst)
//if(!rst) led <= 2'b11;
//else if(cnt == 12'd300) led[0] <= 1'b0;
//else if(cnt == 12'd500) led[1] <= 1'b0;
//else if(cnt == 12'd700) led[0] <= 1'b1;
//else if(cnt == 12'd900) led[1] <= 1'b1;
//else if(cnt == 12'd1100) led[0] <= 1'b0;
//else if(cnt == 12'd1300) led[1] <= 1'b0;
//else if(cnt == 12'd1500) led[0] <= 1'b1;
//else if(cnt == 12'd1700) led[1] <= 1'b1; 
//
//wire [35:0] CONTROL;
//wire [15:0] TRIG0;
//icon liushuideng (
//    .CONTROL0(CONTROL) // INOUT BUS
//);
//
//dengila led_ila (
//    .CONTROL(CONTROL), // INOUT BUS
//    .CLK(clk), // IN
//    .TRIG0(TRIG0) // IN BUS [7:0]
//);
//
//assign TRIG0[3] = led[1];
//assign TRIG0[2] = led[0];
//assign TRIG0[1] = rst;
//assign TRIG0[0] = clk;
//
//endmodule 

chipscope的学习通过网络资源搜到,在理解的基础上照葫芦画瓢,经验是一,定义wire的部分写好;二,例化的部分改好,关于ila ip核及icon核的使用,在官网或特权,黑金的教程中均有,可以参考使用;三,要抓取的信号,clk信号抓取时一直为低电平是因为采样时间与监测时间均为clk,即1比1的时钟采样。

感受就是,一开始看了一个半月的算法,感觉很难懂,但是当对熟悉的器件操作时,发现一切都不是那么难以接受,下一步,是用led对复杂的算法进行验证了。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值