一个modelsim仿真出错问题及其解决办法

在使用Quartus 17.1和ModelSim SE_64 10.1c时遇到一个问题,无法在ModelSim中仿真包含ram_entity的工程,因为无法进入ram_1port_171库。尝试通过在Quartus中启动ModelSim未果,最后决定直接在ModelSim环境中操作。创建新库work,并编译顶层文件、testbench文件以及一个特定的.v文件。在ModelSim中成功仿真并观察信号,但原始问题未得到解决,寻求错误原因和更优解决方案。
摘要由CSDN通过智能技术生成

开发环境:quartus17.1,modelsim se_64 10.1c

问题描述:

      建立了一个调用ram的quartus工程,ram取名为ram_entity,想从quartus里启动modelsim功能仿真此ram,但是出现 图1 所示错误,似乎是不能进入ram_1port_171这个库

                                                                                 图1

有图可见已经建立了ram_entity的库,只是不知道为什么为空,打开此文件里面是有许多文件的,包括ram_1port_171。此问题一直不知怎么解决。

另辟解决办法:

   放弃从quartus启动modelsim,直接启动modelsim进行仿真。

首先建立一个新库work,并选择“a new library and alogical mapping to it”然后点击菜单栏里的compile---compile,编译3个文件,一个顶层文件(.v),一个testbench文件(.vt),还有一个为xxxxx_bb的.v文件,xxxxx为取ram名字,此文件在一个以ram名为文件名的文件里,这三个文件编译无顺序要求,此时work不在为空,而是出现三个文件,如 图2所示

                                                     

  • 3
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值