# Error loading design ,modelsim运行之后,不出波形,该如何解决?

1.将仿真需要的模块放在同一个文件夹(不要放在不同盘符的不同文件夹),减少切换目录(change Directory)的麻烦。
1.1 将全部的模块compile;
1.2. 进行simulate selected之后,Transcript发现

** Note: (vsim-8009) Loading existing optimized design _opt

Loading work.tb_sort(fast)

Loading work.wl_reset_box(fast)

Loading work.wl_regd(fast)

Loading work.sort(fast)

Loading work.wl_regd(fast__1)

1.3 要如何解决呢?
解决方法如下:
1.3.1 在sim_Default里面选择任意一个波形,比如我选择了uu_sort,点击add wave news。然后在Transcript输入run 10ms。就可以看到波形了。第一次是10ms ,再输入一次run 10ms就是20ms的波形。如下图:
在这里插入图片描述

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值