FPGA自学4—— Modelsim仿真软件使用

        Modelsim是一款仿真软件,可对VHDL 和Verilog HDL两种语言进行混合仿真。

        前仿真:功能仿真,考虑门电路延时与线延时,主要是验证电路与理想情况是否一致。

         后仿真: 时序仿真(布线后仿真),电路在实际应用中的工作仿真,考虑门电路延时与线延时,能反映芯片的实际工作情况。

 1、关联Quartus II 和Modelsim  软件

打开Quartus II 软件

关联modlesim软件

配置工程仿真软件

 

    现在Quartus II 和Modelsim 软件已经关联在一起了。

2、生成vt文件(Verilog test bench)

3、编写vt文件

生成后的vt文件:

修改后

// Copyright (C) 1991-2013 Altera Corporation
// Your use of Altera Corporation's design tools, logic functions 
// and other software and tools, and its AMPP partner logic 
// functions, and any output files from any of the foregoing 
// (including device programming or simulation files), and any 
// associated documentation or information are expressly subject 
// to the terms and conditions of the Altera Program License 
// Subscription Agreement, Altera MegaCore Function License 
// Agreement, or other applicable license agreement, including, 
// without limitation, that your use is for the sole purpose of 
// programming logic devices manufactured by Altera and sold by 
// Altera or its authorized distributors.  Please refer to the 
// applicable agreement for further details.

// *****************************************************************************
// This file contains a Verilog test bench template that is freely editable to  
// suit user's needs .Comments are provided in each section to help the user    
// fill out necessary details.                                                  
// *****************************************************************************
// Generated on "02/10/2022 09:24:50"
                                                                                
// Verilog Test Bench template for design : emg_fpga_top
// 
// Simulation tool : ModelSim (Verilog)
// 

`timescale 1 ns/ 1 ns
module emg_fpga_top_vlg_tst();
// constants                                           
// general purpose registers
//reg eachvec;    没用的信号
// test vector input registers
reg Ads_irq_8;
reg SPI_miso;
reg Start_data_flag;
reg sys_clk;
reg sys_rst_n;
// wires                                               
wire SPI_cs_n;
wire SPI_mosi;
wire SPI_sck;
wire led_b;
wire led_g;
wire led_r;
wire uart_txd;

// assign statements (if any)                          
emg_fpga_top i1 (
// port map - connection between master ports and signals/registers   
	.Ads_irq_8(Ads_irq_8),
	.SPI_cs_n(SPI_cs_n),
	.SPI_miso(SPI_miso),
	.SPI_mosi(SPI_mosi),
	.SPI_sck(SPI_sck),
	.Start_data_flag(Start_data_flag),
	.led_b(led_b),
	.led_g(led_g),
	.led_r(led_r),
	.sys_clk(sys_clk),
	.sys_rst_n(sys_rst_n),
	.uart_txd(uart_txd)
);
initial begin           //付初值                                              
    sys_clk=1'b0;       //系统时钟付初值
    sys_rst_n=1'b0;     //复位信号付初值
    #100 sys_rst_n=1'b1;//延时100ns,把复位信号拉高
    
    #1000 $stop; //程序仿真时运行1000ns,停止仿真
end       
 
//产生时钟翻转信号 
always     #10 sys_clk=~sys_clk;//系统时钟是50mhz,周期是20ns,那么就是没10ns,时钟翻转一次                                            
                                                  
endmodule

 4、配置仿真功能

 

  

     接下来就可以仿真了

5、开始仿真

 这里我选择功能仿真,modelsim软件会自动打开进入这个界面

 

 

这里我选择时序仿真,modelsim软件会自动打开进入这个界面

 

  • 0
    点赞
  • 49
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
### 回答1: 《FPGA自学笔记——设计与验证》是一本关于FPGA设计和验证的入门教材。本书以VHDL和Verilog两种HDL语言为主要工具,通过实例讲解FPGA的基本概念、设计流程和验证方法。同时,本书还通过实例演示了如何使用Xilinx ISE和ModelSim这两个主流软件工具进行FPGA设计和验证。 本书的内容分为五个部分,分别是FPGA基础知识、FPGA设计流程、FPGA验证方法、FPGA性能优化以及FPGA应用实例。其中,FPGA基础知识部分介绍了FPGA的基本构成、组成部件以及通用数字电路设计知识;FPGA设计流程部分从设计输入、RTL设计、布局布线、实现生成等方面详细介绍了FPGA设计流程;FPGA验证方法部分主要介绍了功能验证和时序验证这两个方面的知识;FPGA性能优化部分介绍了FPGA的几种性能指标以及如何通过一定的优化方法提高FPGA性能;FPGA应用实例部分通过几个实例演示了如何应用FPGA进行数字电路设计。 本书的难度适中,适合初学者学习和参考,同时也可以作为FPGA初学者的参考书籍。本书涉及的知识点较为全面,可以为初学者提供一个全面的FPGA设计和验证入门指南。其内容易于理解,实例讲解深入浅出,对于想要学习FPGA设计和验证的人群来说是一本很好的参考书。 ### 回答2: 《FPGA自学笔记——设计与验证》PDF是一本很好的自学FPGA的书籍。这本书包含了FPGA基本概念、设计流程、Verilog HDL语言、开发工具、测试方法等多个主题,非常详尽地介绍了FPGA的基本知识和开发技巧。读这本书可以帮助我们更好地理解FPGA的原理和功能,从而更加熟练地掌握FPGA的设计和验证。 此外,这本书还提供了很多实例来帮助我们更好地理解FPGA的设计和验证。这些实例包含多种应用场景,例如数字逻辑、时序控制、通信等,能够帮助我们从不同角度学习FPGA的相关知识。而且,这本书还提供了实验指导,通过做实验来让我们更深入地理解FPGA的各种知识和技能。 总之,这本书《FPGA自学笔记——设计与验证》PDF是一本非常好的FPGA自学指南,通过阅读这本书,我们可以掌握FPGA基本知识和开发技能,更好地应用FPGA进行各种应用开发。我相信,读完这本书,你一定能够对FPGA有更深刻的认识,并且能够灵活运用FPGA进行各种应用开发。 ### 回答3: 《FPGA自学笔记——设计与验证》是一本以FPGA为研究对象的书籍。它详细介绍了FPGA的诸多特性和应用。该书主要分为两部分,第一部分介绍了FPGA的基本概念,并讲解了Verilog的语法和使用方法。第二部分是实践性较强的部分,通过编写案例代码进行实际操作。 该书着重强调了FPGA设计流程,通过案例演示了FPGA设计的全过程。该书还提供了大量的练习题和案例代码,读者可以通过反复练习和实际操作,逐渐掌握FPGA的设计和验证技能。 总体来说,《FPGA自学笔记——设计与验证》是一本非常实用的FPGA入门教材。它从基础知识入手,循序渐进地讲解了FPGA的各个方面。并且,该书重点讲解了如何运用Verilog语言进行FPGA设计,这对FPGA初学者来说是一个非常实用的指南。 如果你对FPGA领域感兴趣,且希望通过自学来掌握FPGA的基本操作和设计方法,那么《FPGA自学笔记——设计与验证》是一本非常值得推荐的书籍。  
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值