自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

Neo的战斗部

一些学习的总结

  • 博客(67)
  • 资源 (1)
  • 收藏
  • 关注

原创 conda和jupyter虚拟环境操作

conda和jupyter虚拟环境操作

2020-04-02 10:44:44 335

原创 音频转文字工具

转载一篇文章,关于使用whisper工具进行音频转文字操作的,我使用了一下,很好用,不过由于我是直接cpu运行的,所以速度比较慢,如果有GPU的话应该会快很多。

2024-07-24 16:57:29 207

原创 isscc2024 short course4 In-memory Computing Architectures

内存计算(In-memory Computing)架构是一种新兴的机器学习加速器方法,通过将计算能力集成到存储器中,以减少数据移动的延迟和能耗,从而提高计算效率和性能。这种方法特别适用于需要大量数据处理的深度学习任务。出现存内计算的原因IMC与数字电路的优势内存计算架构作为新兴的ML加速器方法,通过在存储器中集成计算能力,显著减少了数据移动的延迟和能耗,提升了计算效率。尽管面临可靠性、计算精度和热管理等设计挑战,但在深度学习推理和边缘计算设备中的应用前景广阔。

2024-05-25 15:38:48 785

原创 isscc2024 short course3 edge and mobile environments

分两大块:ML处理器的能效问题跨层优化和异构多核的必要性边缘设备智能化:边缘系统包括可穿戴设备、植入式设备、智能扬声器、无人机、汽车等,它们需要智能处理能力来处理数据并做出决策。tinyML挑战:在资源受限的边缘设备上实现机器学习(被称为tinyML)面临诸多挑战,包括有限的内存、实时处理需求(低延迟)、以及低能耗限制。模型选择:并非所有深度神经网络(DNN)都适用于边缘设备。需要根据任务的复杂性、可用的计算资源和能耗预算来选择合适的模型。

2024-05-24 12:43:09 854

原创 isscc2024 short course2 Performance Compute Environment

这部分分为4部分:概览:LLMs和生成式AI探讨大语言模型(LLMs)和生成式AI的整体环境,及其对硬件加速器设计的影响。高性能AI加速器的特定考虑因素广泛的模型和使用案例支持:需要设计能支持多种模型和应用场景的加速器。系统级优化:强调从系统层面进行优化以提升整体性能。计算效率的路线图量化与稀疏性:探讨量化(Quantization)和稀疏性(Sparsity)技术如何提高计算效率。功率管理:通过优化功率管理来提高性能和能效。

2024-05-23 15:36:19 921

原创 isscc2024 short course1 Machine Learning Applications and Hardware Aware Optimization

这些技术的实施需要细致的硬件优化和软件算法的协同设计,以确保在不牺牲模型准确度的前提下实现性能的最大化。传统的浮点运算会被转换成使用较少比特数的整数或定点运算,例如FP32转换为INT8或更低精度的格式(如FP16, FP8)。这部分没有好说的,基础知识普及,从机器学习的定义到深度神经网络的分类,诸如CNN,卷积,激活函数等,介绍了常用的几种CNN,attention等。然而,量化也带来了效率与准确度之间的权衡,因为精度的降低可能会导致模型准确度的损失。:因每个数值占用的位数减少,降低了内存读写需求。

2024-05-22 10:16:54 468

原创 KAN神经网络简短介绍

Kolmogorov-Arnold Networks (KANs) 是一种创新的神经网络模型,它挑战了传统多层感知器(MLPs)的设计,通过将激活函数从节点转移到边上来提升模型的性能和可解释性。KAN的核心在于,其所有权重参数均被单变量的样条函数代替,这些函数可根据训练数据自适应调整,从而提供了比固定激活函数更高的灵活性和适应性。

2024-05-12 14:04:28 1733

原创 这是一关于DSC相关的文档

一篇关于DSC的文章

2024-05-10 18:08:50 317

原创 linux grep命令使用

The grep filter searches a file for a particular pattern of characters, and displays all lines that contain that pattern. The pattern that is searched in the file is referred to as the regular expression (grep stands for globally search for regular express

2021-09-19 10:33:59 282

原创 git使用精简指南

git使用精简指南

2021-09-17 09:05:05 214

原创 论文 Self-MM 解读

Learning Modality-Specific Representations with Self-SupervisedMulti-Task Learning for Multimodal Sentiment Analysis摘要1.设计了一种标签生成模块,获得独立的单模态标签2.设计权重调整策略,平衡不同子任务之间的学习进程特征学习中 前向引导 :捕捉不同模态之间的差异信息后向引导:附加损失函数作为先验约束,使得模态表征既包含一致信息又包含互补信息paper的贡献:1.

2021-04-13 11:06:59 2140

原创 java学习笔记

源文件声明规则:1.一个源文件只能有一个public类,可以有多个非public类2.原文件名称与public类名称相同3.package语句放在源文件首行4.import语句和package语句对源文件中定义的所有类都有效5.同意源文件下,不能给不同类不同的包声明函数就是把一部分功能封装起来,被重复使用函数格式:修饰符 返回值类型 函数名(参数类型 形式参数1, 参数类型 形式参数2){执行语句;return 返回值;}函数必须学会int getResult(int num)

2021-03-14 14:53:53 357

原创 docker使用笔记

docker ps 列出正在运行的containerdocker ps -a 列出所有的containersdocker start container_id 启动containerdocker attach container_id 进入containerdocker stop id 停止containerdocker rm 容器名 #删除容器docker info #查看系统相关信息进入docker后,service ssh start开启ssh服务https://blog

2021-03-14 14:52:51 127

原创 c和c++使用笔记

符号常量好处:1.含义清晰 2.一改全改字符常量占一个字节内存空间,字符串常量占内存空间为字符串数加一,后面加\0字符串终止符强制类型转换只是暂时的vs2010ctrl+k,ctrl+c 加注释ctrl+k,ctrl+u 取消注释for(循环变量赋初值; 循环条件; 循环变量增量){语句}多层循环中,break语句只跳出一个循环定义字符数组时,要空出一位放结束字符strcat(字符串数组1,字符串数组2); // 字符串连接字符,2接到1后strcpy(字符串数组1,字符串数组2);

2021-03-14 14:52:07 102

原创 matlab学习笔记

A(???? %依次取行列所有元素A(:, 3) %取第三列的元素A(:, 1:2) %取第一列到第三列的元素A(2:3, 1:2) %取既在第二行第三行,也在第一列第二列的元素A(2: 3)% 取2到3的数据A(1:2, ???? % 依次取第一行到第二行的数据···矩阵元素的引用A(i,j) 矩阵 A 中的第 i 行,第 j 列元素A(i:j,m:n) 由第 i 至 j 行和第 m 至 n 列组成的子矩阵A(i:end,m:n) 第 i 行到最后一行与 m 至 n 列组成的子矩阵A(

2021-03-14 14:51:00 1127

原创 keras源码阅读

下载keras源码包,里面的目录大致为|-- docker # 通过docker安装指南集dockerfile|-- docs #说明文档|-- examples #应用示例|-- keras #源码核心| |-- applications #常用模型| |-- backend #底层backend| |-- callbacks

2021-03-14 14:28:28 246

原创 清华论文CH-SIMS: A Chinese Multimodal Sentiment Analysis Dataset with Fine-grained Annotations of Modali

CH-SIMS: A Chinese Multimodal Sentiment Analysis Dataset with Fine-grained Annotations of Modality创新点1. 提出了一种数据集,可以同时去做单模态分类,多模态分类以及多任务分类。2. 提出了一种多模态多任务的后端融合的框架,在这个框架下进行多模态训练会使得测试结果更高。论文细节单模态和多模态的标签是分开的对每一段语音,文本,视频都叫五个人去打标签,每个人打的标签只有三种,1是积极的,0中性的,-

2021-03-08 15:32:16 3326 8

原创 解决使用keras中 cannot import name ‘_time_distributed_dense‘的问题

参考这个网址:https://github.com/datalogue/keras-attention/issues/15和https://stackoverflow.com/questions/48557250/keras-error-cannot-import-name-time-distributed-dense在代码中直接添加_time_distributed_dense函数def _time_distributed_dense(x, w, b=None, dropout=None,

2020-11-19 11:06:50 1128 1

原创 FPGA信号沿检测(上升沿,下降沿,双沿,单bit,双bit)

这个是我在做HDLBits中遇到的一道题,然后我查了相关资料,就总结了一下(https://hdlbits.01xz.net/wiki/Edgedetect)所有的波形均符合题目中的要求仿真的波形如下:这个是单bit信号的边沿检测,其实如果懂了单bit信号的,多bit信号也自然就会了上面最近的这幅图是每个bit位展开之后波形图,每个检测信号均占据一个时钟周期。虽然和题目中检测信号相比输入信号未延时一个周期,后期如果需要再加寄存器延迟即可。这个是代码module edgeDetect(

2020-10-30 11:15:18 2393

原创 记一次面试试题

线下面试某研究所,题目是这样的用1M的时钟(或者一个特别慢的时钟)去检测一个50M时钟域(特别快的时钟)里的一个毛刺信号,这个毛刺宽度为快时钟的一个周期,如何进行检测。(慢时钟频率远远小于快时钟频率)可能基础也不扎实,而且现场面试也紧张,没答出来,等出来后,冷静思考了一下其实难度并不大,大致有两种方法:clka为快时钟,clkb为慢时钟1.对毛刺信号进行扩宽在快时钟域下对毛刺进行打拍延时,具体打几拍就看快时钟是慢时钟的几倍,如果快时钟100M,慢时钟9M,就打12拍。然后对这几拍的所有信号进行或

2020-10-21 20:18:38 307

原创 fpga的异步复位同步释放操作

系列文章目录提示:这里可以添加系列文章的所有文章的目录,目录需要自己手动添加例如:第一章 Python 机器学习入门之pandas的使用提示:写完文章后,目录可以自动生成,如何生成可参考右边的帮助文档文章目录系列文章目录前言一、pandas是什么?二、使用步骤1.引入库2.读入数据总结前言提示:这里可以添加本文要记录的大概内容:例如:随着人工智能的不断发展,机器学习这门技术也越来越重要,很多人都开启了学习机器学习,本文就介绍了机器学习的基础内容。提示:以下是本篇文章正文内容,下面案例

2020-09-14 16:43:55 323

原创 欢迎来到pytorch教程

一个60分钟的教程一.张量pytorch与numpy中的ndarray具有相同功能,也可以通过GPU进行加速计算>>> import torch>>>>>> x = torch.empty(5,5)>>> print(x)tensor([[8.9082e-39, 1.0194e-38, 9.1837e-39, 4.6837e-39, 9.2755e-39], [1.0837e-38, 8.4490e-39,

2020-07-04 18:37:32 158

原创 vivado中coe文件的生成

参考了https://www.xilinx.com/support/documentation/ip_documentation/blk_mem_gen/v8_3/pg058-blk-mem-gen.pdf文档的第87页开始的内容,并对其进行了适当的翻译。Specifying Initial Memory Contents指定内存的初始内容​ The Block Memory Generator的核心使用coe文件或vivado默认的形式进行初始化.coe文件能填充内存中每一块位置。coe文件

2020-05-16 10:09:41 12041 2

原创 最短路径之Dijkstar算法详解

最短路径之Dijkstar算法详解

2020-05-14 11:30:13 467

原创 数据结构进阶(三)

二叉搜索树1.二分查找在有序数组中对目标元素进行查找template<typename T>int binarySearch(T arr[], int n, T target){ // 在arr[l...r]之中查找target,注意这是一个闭区间 int l = 0, r = n-1; while( l <= r ){ //int mid = (l + r)/2; // 防止极端情况下的整形溢出,使用下面的逻辑

2020-05-10 21:43:31 182

原创 leedcode-206题-反转链表

1.普通方法:先上代码/** * Definition for singly-linked list. * struct ListNode { * int val; * struct ListNode *next; * }; */struct ListNode* reverseList(struct ListNode* head){ struct Lis...

2020-05-04 20:20:47 117

原创 使用Centos的一些问题和解决方法

**python2.7升级到python3.80**1.如何登录华为云(1)打开华为云的控制台,找见弹性公网IP地址,(2)xshell中的ip地址写这个 ,登录名为root,密码自定,即可登录2.升级python(1)先安装相关依赖yum -y install zlib-devel bzip2-devel openssl-devel ncurses-devel sqlite-de...

2020-04-29 12:10:53 529

原创 vivado的FIFO IP核

这是vivado中fifo的界面

2020-04-26 18:06:14 5734

原创 如何打开modelsim项目

在项目目录中选择.mpf文件即可

2020-03-29 17:57:58 1662

原创 FPGA分频——偶分频/奇分频/小数分频

一 偶分频:这个是最简单的分频操作// 偶数分频module oushufenpin( input clk, input rst, output reg div);reg [2:0] counter;always @(posedge clk) begin if (rst) counter <= 3'd0; else ...

2020-03-29 17:57:38 1124 3

原创 Python 在 Windows 环境下(在 linux 环境下不存在此问题)在 write 后直接 read会出现乱码问题的解决方法

foo= open("foo.txt", "w+")foo.write('www.runoob.com')print(foo.read())改进以后的代码如下:foo= open("foo.txt", "w+")foo.write('www.runoob.com')foo.flush()foo.seek(0)#指定文件指针为文件开头print(foo.read())读取乱码问...

2020-03-29 11:50:21 156

原创 pandas中的几个高效的用于数据分析的函数

pandas中的几个高效的用于数据分析的函数

2020-03-25 16:01:32 319

原创 numpy中的几个高效的用于数据分析的函数

numpy中的几个高效的用于数据分析的函数

2020-03-25 11:47:38 358 1

原创 指令集知识

指令集知识

2020-03-23 16:50:09 1738

原创 gcc指令使用方法简述

gcc整个编译过程如图预处理编译汇编链接file.cfile.ifile.hfile.sfile.ofile.exe / file.out静态库/动态库.c文件到.exe文件(linux是.out文件)gcc file.c // 生成a.exe文件gcc file.c -o file // 指定生成文件的名字.c文件到.i文件gcc -E file.c -o file.i...

2020-03-22 21:30:04 588

原创 ISE细节

ISE14 闪退:将“ISE\lib\nt64\libPortability.dll”和"ISE\lib\nt64\libPortabilityNOSH.dll"文件备份第二步:将libPortability.dll”重命名为“libPortability_bac.dll”第三步:将"libPortabilityNOSH.dll"重命名为"libPortability.dll"点击项目文件...

2020-03-06 12:11:35 183

原创 使用ubuntu的一些问题

卸载ubuntu双系统时,先进入BIOS,找见security boot,调整开机引导顺序,然后清空盘符rm -rf 文件夹名ps 打开进程df -lh 此时使用状态sudo fdisk -l 列出已经挂载的盘符创建挂载点,要挂载的文件夹mkdir /mnt/diskdsudo mount /dev/sda5 /mnt/diskd挂载成功弹出设备sudo umount /de...

2020-03-06 12:11:21 274

原创 matlab学习笔记(待续)

A(???? %依次取行列所有元素A(:, 3) %取第三列的元素A(:, 1:2) %取第一列到第三列的元素A(2:3, 1:2) %取既在第二行第三行,也在第一列第二列的元素A(2: 3)% 取2到3的数据A(1:2, ???? % 依次取第一行到第二行的数据···矩阵元素的引用A(i,j) 矩阵 A 中的第 i 行,第 j 列元素A(i:j,m:n) 由第 i 至 j 行和第 m 至 n...

2020-03-06 12:10:36 1288 1

原创 数据结构进阶(二)

归并排序:主函数:void merge(int arr[], int l, int mid, int r){ int* cpy = new int[r - l + 1]; // 创建一个辅助数组 for (int i = l; i <= r; i ++) cpy[i - l] = arr[i]; int i = l, j = mid +...

2020-03-04 18:14:29 93

原创 数据结构进阶(一)

快速排序

2020-03-02 12:10:29 165 1

SystemVerilog Guide Zachary Yedidia.pdf

SystemVerilog Guide Zachary Yedidia

2024-05-11

A Brief Introduction to SystemVerilog Instructor.pdf

A Brief Introduction to SystemVerilog Instructor

2024-05-11

systemverilog.pdf

systemverilog

2024-05-11

SystemVerilog For Design Second Edition A Guide t

SystemVerilog For Design Second Edition A Guide to Using SystemVerilog for Hardware Design and Modeling

2024-05-11

有关amba apb相关的资源

有关amba apb相关的资源

2021-09-28

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除