vivado中coe文件的生成

参考了https://www.xilinx.com/support/documentation/ip_documentation/blk_mem_gen/v8_3/pg058-blk-mem-gen.pdf文档的第87页开始的内容,并对其进行了适当的翻译。

Specifying Initial Memory Contents

指定内存的初始内容

​ The Block Memory Generator的核心使用coe文件或vivado默认的形式进行初始化

.coe文件能填充内存中每一块位置。coe文件中有两个参数:

memory_initialization_radix(存储数值的基数,就是进制数): 只能选2,10,16进制
memory_initialization_vector(存储的数值): 定义其中存储的数值

一个例子:

memory_initialization_radix = 16;
memory_initialization_vector =
12, 34, 56, 78, AB, CD, EF, 12, 34, 56, 78, 90, AA, A5, 5A, BA;

创建coe文件的图示:

在这里插入图片描述

  1. Select/check Load Init File.
  2. Click Edit.
  3. Create or select a file.
  4. Enter the required memory_initialization_radix and memory_initialization_vector.

如何在matlab中创建coe文件或者quartusII中的mif文件:


N=2^8;
s_p=0:255;    % 正弦波一个周期的采样点数
Mem_depth = 256;    % 存储深度
Mem_width = 8;    % 存储宽度
sin_data=sin(2*pi*s_p/N);
% 打印我们的波形
% plot(sin_data,'r*');
% hold on;
% plot(sin_data);
% 定点化
fix_p_sin_data=fix(sin_data*((N / 2) -1));
for i=1:N
    % 负数的定点化
    if fix_p_sin_data(i)<0
        fix_p_sin_data(i)=N+fix_p_sin_data(i);    %记住这里是负数用加号即可
    else
        % 正数的定点化
        fix_p_sin_data(i)=fix_p_sin_data(i);
    end
end

% 生成.mif文件
fid=fopen('sp_ram_256x8.mif','w+');
fprintf(fid,'WIDTH=%d;\n', Mem_width);
fprintf(fid,'DEPTH=%d;\n',Mem_depth);
fprintf(fid,'ADDRESS_RADIX=UNS;\n');
fprintf(fid,'DATA_RADIX=UNS;\n');
fprintf(fid,'CONTENT BEGIN \n');
for i=1:N
    fprintf(fid,'%d:%d; \n',i-1,fix_p_sin_data(i));
end
fprintf(fid,'END; \n');
fclose(fid);

% 生成.coe文件
fid=fopen('sp_ram_256x8.coe','w+');
fprintf(fid,'memory_initialization_radix=10;\n');    % rom写入数值的进制数
fprintf(fid,'memory_initialization_vector= \n');    % rom的向量数值
for i=1:N
    if i == N
        fprintf(fid,'%d; ',fix_p_sin_data(i));    % 这里不能换行,每个数值用英文逗号隔开
    else
        fprintf(fid,'%d, ',fix_p_sin_data(i));
    end
end


  • 8
    点赞
  • 47
    收藏
    觉得还不错? 一键收藏
  • 2
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值