verilog仿真输出信号值到文件中

如:

`timescale 1ns/1ns

module shift_tb;

reg clk, rst;
reg [31:0] count = 32'd0;
wire k1, k2, k3, k4, k5, z;

initial begin
   file = $fopen("D:/data_o.csv","w");  // 初始化文件
end

initial begin
    clk = 0;
    rst = 1;
    #1000 rst = 0;
end

always #10 clk = ~clk;

always @(posedge clk) begin
    if (count < 16'd1000) begin
         $fwrite(file,"%b\n", k1);  //k1为需要保存的信号数据
         count <= count + 1;
     end
     else begin
         count <= 16‘d0;
         $fclose(file);   // 这里一定要写,关闭文件读写
     end
 end

shift u0(
    .clk(clk),
    .rst(rst),
    .k1(k1),
    .k2(k2),
    .k3(k3),
    .k4(k4),
    .k5(k5),
    .z(z)
    );

endmodule
  • 10
    点赞
  • 29
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值