fpga的异步复位同步释放操作

大多数的复位操作通常是直接将rst_n信号拉低或置高,直接进行复位。但是这样会产生一个很大的问题,如果复位操作位于数据采样沿的Setup或Holdon时间内,会发生什么?整个电路会出现亚稳态,可能导致复位失败。
此时,如果采用异步复位,同步释放的操作,就能避免亚稳态的出现。
先上代码:

module RST(
    input       clk,
    input       rst_in,
    output reg  rst_out
    );
    reg rst_q;
    always @(posedge clk or negedge rst_in) begin
        if (!rst_in)
            rst_q <= 1'b0;
        else 
            rst_q <= 1'b1;
    end

    always @(posedge clk or negedge rst_in) begin
        if (!rst_in)
            rst_out <= 1'b0;
        else 
            rst_out <= rst_q;
    end
endmodule

测试代码:

module tb;

reg clk;
reg rst_in;
wire rst_out;
initial begin
    clk = 0;
    rst_in = 0;
    #117 rst_in = 1;
    #205 rst_in = 0;
    #553 rst_in = 1;
    #600 $finish;
end
always #10 clk = ~clk;
RSTu1(
    .clk(clk),
    .rst_in(rst_in),
    .rst_out(rst_out)
    );
endmodule

这个是综合出来的电路:
在这里插入图片描述
仿真时序图:
在这里插入图片描述
底下是放大的几张图片:
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值